HITDAQ/FPGA_firmware/q_sys/synthesis/q_sys.qip
2024-10-11 14:49:54 +02:00

8603 lines
1.4 MiB

set_global_assignment -entity "q_sys" -library "q_sys" -name IP_TOOL_NAME "Qsys"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -library "q_sys" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../q_sys.sopcinfo"]
set_global_assignment -entity "q_sys" -library "q_sys" -name SLD_INFO "QSYS_NAME q_sys HAS_SOPCINFO 1 GENERATION_ID 1728634208"
set_global_assignment -library "q_sys" -name MISC_FILE [file join $::quartus(qip_path) "../q_sys.cmp"]
set_global_assignment -library "q_sys" -name SLD_FILE [file join $::quartus(qip_path) "q_sys.regmap"]
set_global_assignment -library "q_sys" -name SLD_FILE [file join $::quartus(qip_path) "q_sys.debuginfo"]
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_TARGETED_DEVICE_FAMILY "MAX 10"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_QSYS_MODE "SYSTEM"
set_global_assignment -name SYNTHESIS_ONLY_QIP ON
set_global_assignment -library "q_sys" -name MISC_FILE [file join $::quartus(qip_path) "../../q_sys.qsys"]
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXM="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "cV9zeXM="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "On"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_VERSION "MS4w"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTcyODYzNDIwOA==::QXV0byBHRU5FUkFUSU9OX0lE"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Ng==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19CUklER0VfMF9JTl9DTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19CUklER0VfMF9JTl9DTEtfQ0xPQ0tfRE9NQUlO::LTE=::QXV0byBDTE9DS19ET01BSU4="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19CUklER0VfMF9JTl9DTEtfUkVTRVRfRE9NQUlO::LTE=::QXV0byBSRVNFVF9ET01BSU4="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERFIzX1JBTV9QTExfUkVGX0NMS19DTE9DS19SQVRF::LTE=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERFIzX1JBTV9QTExfUkVGX0NMS19DTE9DS19ET01BSU4=::LTE=::QXV0byBDTE9DS19ET01BSU4="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERFIzX1JBTV9QTExfUkVGX0NMS19SRVNFVF9ET01BSU4=::LTE=::QXV0byBSRVNFVF9ET01BSU4="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19FVEhfVFNFX1BDU19NQUNfUlhfQ0xPQ0tfQ09OTkVDVElPTl9DTE9DS19SQVRF::LTE=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19FVEhfVFNFX1BDU19NQUNfUlhfQ0xPQ0tfQ09OTkVDVElPTl9DTE9DS19ET01BSU4=::LTE=::QXV0byBDTE9DS19ET01BSU4="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19FVEhfVFNFX1BDU19NQUNfUlhfQ0xPQ0tfQ09OTkVDVElPTl9SRVNFVF9ET01BSU4=::LTE=::QXV0byBSRVNFVF9ET01BSU4="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19FVEhfVFNFX1BDU19NQUNfVFhfQ0xPQ0tfQ09OTkVDVElPTl9DTE9DS19SQVRF::LTE=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19FVEhfVFNFX1BDU19NQUNfVFhfQ0xPQ0tfQ09OTkVDVElPTl9DTE9DS19ET01BSU4=::LTE=::QXV0byBDTE9DS19ET01BSU4="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19FVEhfVFNFX1BDU19NQUNfVFhfQ0xPQ0tfQ09OTkVDVElPTl9SRVNFVF9ET01BSU4=::LTE=::QXV0byBSRVNFVF9ET01BSU4="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19TWVNfQ0xLX0NMT0NLX1JBVEU=::LTE=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19TWVNfQ0xLX0NMT0NLX0RPTUFJTg==::LTE=::QXV0byBDTE9DS19ET01BSU4="
set_global_assignment -entity "q_sys" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19TWVNfQ0xLX1JFU0VUX0RPTUFJTg==::LTE=::QXV0byBSRVNFVF9ET01BSU4="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX3Jlc2V0X2NvbnRyb2xsZXI="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVybGluIFJlc2V0IENvbnRyb2xsZXI="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Rm9yIHN5c3RlbXMgd2l0aCBtdWx0aXBsZSByZXNldCBpbnB1dHMsIHRoZSBNZXJsaW4gUmVzZXQgQ29udHJvbGxlciBPUnMgYWxsIHJlc2V0IGlucHV0cyBhbmQgZ2VuZXJhdGVzIGEgc2luZ2xlIHJlc2V0IG91dHB1dC4="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1JFU0VUX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIGlucHV0cw=="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUUFVUX1JFU0VUX1NZTkNfRURHRVM=::ZGVhc3NlcnQ=::T3V0cHV0IFJlc2V0IFN5bmNocm9ub3VzIEVkZ2Vz"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lOQ19ERVBUSA==::Mg==::U3luY2hyb25pemVyIGRlcHRo"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRVUVTVF9QUkVTRU5U::MA==::UmVzZXQgcmVxdWVzdCBsb2dpYyBlbmFibGU="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX1dBSVRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCB3YWl0IHRpbWU="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUlOX1JTVF9BU1NFUlRJT05fVElNRQ==::Mw==::TWluaW11bSByZXNldCBhc3NlcnRpb24gdGltZQ=="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX0VBUkxZX0RTUlRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCBkZWFzc2VydCB0aW1pbmc="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4w::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjA="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4x::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4y::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjI="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4z::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjM="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU40::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjQ="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU41::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjU="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU42::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjY="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU43::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjc="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU44::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjg="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU45::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjk="
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEw"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEx"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMg==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEy"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMw==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEz"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE0"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE1"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU5QVVQ=::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcmVzZXRfaW5wdXRz"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_COMPONENT_PARAMETER "QURBUFRfUkVTRVRfUkVRVUVTVA==::MA==::T25seSBhZGFwdCBvbmx5IHJlc2V0IHJlcXVlc3Q="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfYXZhbG9uX3N0X2FkYXB0ZXJfMDAx"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEFkYXB0ZXI="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWRhcHQgbWlzbWF0Y2hlZCBBdmFsb24tU1QgZW5kcG9pbnRz"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::OA==::U3ltYm9sIFdpZHRo"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::MQ==::VXNlIFBhY2tldA=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5EYXRhV2lkdGg=::MzI=::U291cmNlIERhdGEgV2lkdGg="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::U291cmNlIE1heCBDaGFubmVs"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::U291cmNlIENoYW5uZWwgUG9ydCBXaWR0aA=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::Ng==::U291cmNlIEVycm9yIFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::MQ==::U291cmNlIFVzZXMgRW1wdHkgUG9ydA=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FbXB0eVdpZHRo::Mg==::U291cmNlIEVtcHR5IFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VWYWxpZA==::MQ==::U291cmNlIFVzZXMgVmFsaWQgUG9ydA=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::MQ==::U291cmNlIFVzZXMgUmVhZHkgUG9ydA=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::Mg==::U291cmNlIFJlYWR5IExhdGVuY3k="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RGF0YVdpZHRo::MzI=::U2luayBEYXRhIFdpZHRo"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0TWF4Q2hhbm5lbA==::MA==::U2luayBNYXggQ2hhbm5lbA=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::MA==::U2luayBDaGFubmVsIFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::Ng==::U2luayBFcnJvciBQb3J0IFdpZHRo"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlRW1wdHlQb3J0::MQ==::U2luayBVc2VzIEVtcHR5IFBvcnQ="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RW1wdHlXaWR0aA==::Mg==::U2luayBFbXB0eSBQb3J0IFdpZHRo"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlVmFsaWQ=::MQ==::U2luayBVc2VzIFZhbGlkIFBvcnQ="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlUmVhZHk=::MQ==::U2luayBVc2VzIFJlYWR5IFBvcnQ="
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0UmVhZHlMYXRlbmN5::MA==::U2luayBSZWFkeSBMYXRlbmN5"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfYXZhbG9uX3N0X2FkYXB0ZXJfMDAxX3RpbWluZ19hZGFwdGVyXzA="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIFRpbWluZyBBZGFwdGVy"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::TWF4IENoYW5uZWw="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::OA==::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::dHJ1ZQ==::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::WUVT::SW5jbHVkZSBFbXB0eSBTaWduYWw="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::NA==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlUmVhZHk=::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::Mg==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0UmVhZHlMYXRlbmN5::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::Ng==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VWYWxpZA==::dHJ1ZQ==::SW5jbHVkZSBWYWxpZCBTaWduYWw="
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlVmFsaWQ=::dHJ1ZQ==::SW5jbHVkIFZhbGlkIFNpZ25hbA=="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfYXZhbG9uX3N0X2FkYXB0ZXI="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEFkYXB0ZXI="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWRhcHQgbWlzbWF0Y2hlZCBBdmFsb24tU1QgZW5kcG9pbnRz"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::OA==::U3ltYm9sIFdpZHRo"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::MQ==::VXNlIFBhY2tldA=="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5EYXRhV2lkdGg=::MzI=::U291cmNlIERhdGEgV2lkdGg="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::U291cmNlIE1heCBDaGFubmVs"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::U291cmNlIENoYW5uZWwgUG9ydCBXaWR0aA=="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::U291cmNlIEVycm9yIFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::MQ==::U291cmNlIFVzZXMgRW1wdHkgUG9ydA=="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FbXB0eVdpZHRo::Mg==::U291cmNlIEVtcHR5IFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VWYWxpZA==::MQ==::U291cmNlIFVzZXMgVmFsaWQgUG9ydA=="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::MQ==::U291cmNlIFVzZXMgUmVhZHkgUG9ydA=="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::U291cmNlIFJlYWR5IExhdGVuY3k="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RGF0YVdpZHRo::MzI=::U2luayBEYXRhIFdpZHRo"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0TWF4Q2hhbm5lbA==::MA==::U2luayBNYXggQ2hhbm5lbA=="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::MA==::U2luayBDaGFubmVsIFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::U2luayBFcnJvciBQb3J0IFdpZHRo"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlRW1wdHlQb3J0::MQ==::U2luayBVc2VzIEVtcHR5IFBvcnQ="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RW1wdHlXaWR0aA==::Mg==::U2luayBFbXB0eSBQb3J0IFdpZHRo"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlVmFsaWQ=::MQ==::U2luayBVc2VzIFZhbGlkIFBvcnQ="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlUmVhZHk=::MQ==::U2luayBVc2VzIFJlYWR5IFBvcnQ="
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0UmVhZHlMYXRlbmN5::MA==::U2luayBSZWFkeSBMYXRlbmN5"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfYXZhbG9uX3N0X2FkYXB0ZXJfZXJyb3JfYWRhcHRlcl8w"
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEVycm9yIEFkYXB0ZXI="
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::TWF4IENoYW5uZWw="
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::OA==::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::dHJ1ZQ==::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::WUVT::SW5jbHVkZSBFbXB0eSBTaWduYWw="
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::NA==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX2lycV9jbG9ja19jcm9zc2Vy"
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVybGluIElSUSBDbG9jayBDcm9zc2Vy"
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "U3luY2hyb25pemVzIGludGVycnVwdCBzZW5kZXJzIGFuZCByZWNlaXZlcnMgdGhhdCBhcmUgaW4gZGlmZmVyZW50IGNsb2NrIGRvbWFpbnMu"
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVJRX1dJRFRI::MQ==::SVJRIHdpZHRo"
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19SRUNFSVZFUl9JTlRFUlJVUFRTX1VTRUQ=::LTE=::QXV0byBJTlRFUlJVUFRTX1VTRUQ="
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfaXJxX21hcHBlcg=="
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVybGluIElSUSBNYXBwZXI="
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgaW5kaXZpZHVhbCBpbnRlcnJ1cHQgd2lyZXMgdG8gYSBidXMuIEJ5IGRlZmF1bHQsIHRoZSBpbnRlcnJ1cHQgc2VuZGVyIGNvbm5lY3RlZCB0byB0aGUgcmVjZWl2ZXIwIGludGVyZmFjZSBvZiB0aGUgSVJRIG1hcHBlciBpcyB0aGUgaGlnaGVzdCBwcmlvcml0eSB3aXRoIHNlcXVlbnRpYWwgcmVjZWl2ZXJzIGJlaWluZyBzdWNjZXNzaXZlbHkgbG93ZXIgcHJpb3JpdHku"
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1JDVlJT::NQ==::TnVtYmVyIG9mIHJlY2VpdmVycw=="
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VOREVSX0lSUV9XSURUSA==::MzI=::U2VuZGVyIGludGVycnVwdCB3aWR0aA=="
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVJRX01BUA==::MDoyLDE6MywyOjUsMzowLDQ6NA==::SVJRIG1hcA=="
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzE="
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfYXZhbG9uX3N0X2FkYXB0ZXJfMDIw"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEFkYXB0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWRhcHQgbWlzbWF0Y2hlZCBBdmFsb24tU1QgZW5kcG9pbnRz"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::MTg=::U3ltYm9sIFdpZHRo"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::MA==::VXNlIFBhY2tldA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5EYXRhV2lkdGg=::MTg=::U291cmNlIERhdGEgV2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::U291cmNlIE1heCBDaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::U291cmNlIENoYW5uZWwgUG9ydCBXaWR0aA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::U291cmNlIEVycm9yIFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::MA==::U291cmNlIFVzZXMgRW1wdHkgUG9ydA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FbXB0eVdpZHRo::MQ==::U291cmNlIEVtcHR5IFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VWYWxpZA==::MQ==::U291cmNlIFVzZXMgVmFsaWQgUG9ydA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::MQ==::U291cmNlIFVzZXMgUmVhZHkgUG9ydA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::U291cmNlIFJlYWR5IExhdGVuY3k="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RGF0YVdpZHRo::MTg=::U2luayBEYXRhIFdpZHRo"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0TWF4Q2hhbm5lbA==::MA==::U2luayBNYXggQ2hhbm5lbA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::MA==::U2luayBDaGFubmVsIFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::U2luayBFcnJvciBQb3J0IFdpZHRo"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlRW1wdHlQb3J0::MA==::U2luayBVc2VzIEVtcHR5IFBvcnQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RW1wdHlXaWR0aA==::MQ==::U2luayBFbXB0eSBQb3J0IFdpZHRo"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlVmFsaWQ=::MQ==::U2luayBVc2VzIFZhbGlkIFBvcnQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlUmVhZHk=::MQ==::U2luayBVc2VzIFJlYWR5IFBvcnQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0UmVhZHlMYXRlbmN5::MA==::U2luayBSZWFkeSBMYXRlbmN5"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfYXZhbG9uX3N0X2FkYXB0ZXJfMDIwX2Vycm9yX2FkYXB0ZXJfMA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEVycm9yIEFkYXB0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::TWF4IENoYW5uZWw="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::MTg=::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::ZmFsc2U=::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::MQ==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfYXZhbG9uX3N0X2FkYXB0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEFkYXB0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWRhcHQgbWlzbWF0Y2hlZCBBdmFsb24tU1QgZW5kcG9pbnRz"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::MzQ=::U3ltYm9sIFdpZHRo"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::MA==::VXNlIFBhY2tldA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5EYXRhV2lkdGg=::MzQ=::U291cmNlIERhdGEgV2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::U291cmNlIE1heCBDaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::U291cmNlIENoYW5uZWwgUG9ydCBXaWR0aA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::U291cmNlIEVycm9yIFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::MA==::U291cmNlIFVzZXMgRW1wdHkgUG9ydA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FbXB0eVdpZHRo::MQ==::U291cmNlIEVtcHR5IFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VWYWxpZA==::MQ==::U291cmNlIFVzZXMgVmFsaWQgUG9ydA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::MQ==::U291cmNlIFVzZXMgUmVhZHkgUG9ydA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::U291cmNlIFJlYWR5IExhdGVuY3k="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RGF0YVdpZHRo::MzQ=::U2luayBEYXRhIFdpZHRo"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0TWF4Q2hhbm5lbA==::MA==::U2luayBNYXggQ2hhbm5lbA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::MA==::U2luayBDaGFubmVsIFBvcnQgV2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::U2luayBFcnJvciBQb3J0IFdpZHRo"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlRW1wdHlQb3J0::MA==::U2luayBVc2VzIEVtcHR5IFBvcnQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RW1wdHlXaWR0aA==::MQ==::U2luayBFbXB0eSBQb3J0IFdpZHRo"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlVmFsaWQ=::MQ==::U2luayBVc2VzIFZhbGlkIFBvcnQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0VXNlUmVhZHk=::MQ==::U2luayBVc2VzIFJlYWR5IFBvcnQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0UmVhZHlMYXRlbmN5::MA==::U2luayBSZWFkeSBMYXRlbmN5"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfYXZhbG9uX3N0X2FkYXB0ZXJfZXJyb3JfYWRhcHRlcl8w"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEVycm9yIEFkYXB0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MA==::TWF4IENoYW5uZWw="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::MzQ=::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::ZmFsc2U=::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::MQ==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MA==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0RXJyb3JXaWR0aA==::MQ==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zdF9oYW5kc2hha2VfY2xvY2tfY3Jvc3Nlcg=="
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEhhbmRzaGFrZSBDbG9jayBDcm9zc2Vy"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Q29ubmVjdHMgc3RyZWFtcyB0aGF0IG9wZXJhdGUgYXQgZGlmZmVyZW50IGZyZXF1ZW5jaWVzIHVzaW5nIGEgaGFuZC1zaGFraW5nIHByb3RvY29sIHRvIHByb3BhZ2F0ZSB0cmFuc2ZlciBjb250cm9sIHNpZ25hbHMgYW5kIHJlc3BvbnNlcyBhY3Jvc3MgdGhlIGNsb2NrIGJvdW5kYXJ5IGFuZCByZXNwb25zZXMgaW4gdGhlIG90aGVyIGRpcmVjdGlvbi4="
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9XSURUSA==::MTIx::RGF0YSB3aWR0aA=="
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "QklUU19QRVJfU1lNQk9M::MTIx::Qml0cyBwZXIgc3ltYm9s"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1BBQ0tFVFM=::MQ==::VXNlIHBhY2tldHM="
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0NIQU5ORUw=::MQ==::VXNlIGNoYW5uZWw="
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9XSURUSA==::MjE=::Q2hhbm5lbCB3aWR0aA=="
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0NIQU5ORUw=::MA==::TWF4aW11bSBjaGFubmVsIHZhbHVl"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0VSUk9S::MA==::VXNlIGVycm9y"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::MQ==::RXJyb3Igd2lkdGg="
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkFMSURfU1lOQ19ERVBUSA==::Mg==::VmFsaWQgc3luY2hyb25pemVyIGRlcHRo"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRFlfU1lOQ19ERVBUSA==::Mg==::UmVhZHkgc3luY2hyb25pemVyIGRlcHRo"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX09VVFBVVF9QSVBFTElORQ==::MA==::VXNlIG91dHB1dCBwaXBlbGluZQ=="
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19JTl9DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19PVVRfQ0xLX0NMT0NLX1JBVEU=::MTUwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl93aWR0aF9hZGFwdGVy"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBXaWR0aCBBZGFwdGVy"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgYmV0d2VlbiBBdmFsb24tTU0gbWFzdGVyIGFuZCBzbGF2ZXMgd2l0aCBkaWZmZXJlbnQgZGF0YSBhbmQgYnl0ZSBlbmFibGUgd2lkdGhzLg=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0FERFJfSA==::NDY=::SW5wdXQgcGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0FERFJfTA==::MTg=::SW5wdXQgcGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0RBVEFfSA==::MTU=::SW5wdXQgcGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0RBVEFfTA==::MA==::SW5wdXQgcGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JZVEVFTl9I::MTc=::SW5wdXQgcGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JZVEVFTl9M::MTY=::SW5wdXQgcGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JZVEVfQ05UX0g=::NjE=::SW5wdXQgcGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JZVEVfQ05UX0w=::NTM=::SW5wdXQgcGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NDc=::SW5wdXQgcGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX1RSQU5TX1dSSVRF::NDk=::SW5wdXQgcGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUV1JBUF9I::NzA=::SW5wdXQgcGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUV1JBUF9M::NjI=::SW5wdXQgcGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUX1NJWkVfSA==::NzM=::SW5wdXQgcGFja2V0IGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUX1NJWkVfTA==::NzE=::SW5wdXQgcGFja2V0IGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX1JFU1BPTlNFX1NUQVRVU19I::OTk=::SW5wdXQgcGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX1JFU1BPTlNFX1NUQVRVU19M::OTg=::SW5wdXQgcGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX1RSQU5TX0VYQ0xVU0lWRQ==::NTI=::SW5wdXQgcGFja2V0IGV4Y2x1c2l2ZSB0cmFucyBmaWVsZA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUX1RZUEVfSA==::NzU=::SW5wdXQgcGFja2V0IGJ1cnN0IHR5cGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX0JVUlNUX1RZUEVfTA==::NzQ=::SW5wdXQgcGFja2V0IGJ1cnN0IHR5cGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX09SSV9CVVJTVF9TSVpFX0w=::MTAw::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fUEtUX09SSV9CVVJTVF9TSVpFX0g=::MTAy::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fU1RfREFUQV9X::MTAz::SW5wdXQgZGF0YSB3aWR0aA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9BRERSX0g=::NjQ=::T3V0cHV0IHBhY2tldCBhZGRyZXNzIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9BRERSX0w=::MzY=::T3V0cHV0IHBhY2tldCBhZGRyZXNzIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9EQVRBX0g=::MzE=::T3V0cHV0IHBhY2tldCBieXRlZW5hYmxlIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9EQVRBX0w=::MA==::T3V0cHV0IHBhY2tldCBieXRlZW5hYmxlIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CWVRFRU5fSA==::MzU=::T3V0cHV0IHBhY2tldCBieXRlIGNvdW50IGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CWVRFRU5fTA==::MzI=::T3V0cHV0IHBhY2tldCBieXRlIGNvdW50IGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CWVRFX0NOVF9I::Nzk=::T3V0cHV0IHBhY2tldCBidXJzdHdyYXAgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CWVRFX0NOVF9M::NzE=::T3V0cHV0IHBhY2tldCBidXJzdHdyYXAgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9UUkFOU19DT01QUkVTU0VEX1JFQUQ=::NjU=::T3V0cHV0IHBhY2tldCBkYXRhIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CVVJTVF9TSVpFX0g=::OTE=::T3V0cHV0IHBhY2tldCBidXJzdCBzaXplIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CVVJTVF9TSVpFX0w=::ODk=::T3V0cHV0IHBhY2tldCBidXJzdCBzaXplIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9SRVNQT05TRV9TVEFUVVNfSA==::MTE3::T3V0cHV0IHBhY2tldCByZXNwb25zZSBzdGF0dXMgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9SRVNQT05TRV9TVEFUVVNfTA==::MTE2::T3V0cHV0IHBhY2tldCByZXNwb25zZSBzdGF0dXMgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9UUkFOU19FWENMVVNJVkU=::NzA=::T3V0cHV0IHBhY2tldCBleGNsdXNpdmUgdHJhbnMgZmllbGQ="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CVVJTVF9UWVBFX0g=::OTM=::SW5wdXQgcGFja2V0IGJ1cnN0IHR5cGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9CVVJTVF9UWVBFX0w=::OTI=::SW5wdXQgcGFja2V0IGJ1cnN0IHR5cGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9PUklfQlVSU1RfU0laRV9M::MTE4::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1BLVF9PUklfQlVSU1RfU0laRV9I::MTIw::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX1NUX0RBVEFfVw==::MTIx::T3V0cHV0IHBhY2tldCBkYXRhIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1BUSU1JWkVfRk9SX1JTUA==::MQ==::T3B0aW1pemUgZm9yIHJlc3BvbnNl"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVTUE9OU0VfUEFUSA==::MQ==::UmVzcG9uc2Ugd2lkdGggYWRhcHRlcg=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09OU1RBTlRfQlVSU1RfU0laRQ==::MQ==::U3lzdGVtIG9ubHkgaGF2ZSBjb25zdGFudCBidXJzdCBzaXpl"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0lORw==::MQ==::TmFycm93LXRvLVdpZGUgcGFja2luZw=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fTUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAyOjEwMCkgcmVzcG9uc2Vfc3RhdHVzKDk5Ojk4KSBjYWNoZSg5Nzo5NCkgcHJvdGVjdGlvbig5Mzo5MSkgdGhyZWFkX2lkKDkwKSBkZXN0X2lkKDg5Ojg1KSBzcmNfaWQoODQ6ODApIHFvcyg3OSkgYmVnaW5fYnVyc3QoNzgpIGRhdGFfc2lkZWJhbmQoNzcpIGFkZHJfc2lkZWJhbmQoNzYpIGJ1cnN0X3R5cGUoNzU6NzQpIGJ1cnN0X3NpemUoNzM6NzEpIGJ1cnN0d3JhcCg3MDo2MikgYnl0ZV9jbnQoNjE6NTMpIHRyYW5zX2V4Y2x1c2l2ZSg1MikgdHJhbnNfbG9jayg1MSkgdHJhbnNfcmVhZCg1MCkgdHJhbnNfd3JpdGUoNDkpIHRyYW5zX3Bvc3RlZCg0OCkgdHJhbnNfY29tcHJlc3NlZF9yZWFkKDQ3KSBhZGRyKDQ2OjE4KSBieXRlZW4oMTc6MTYpIGRhdGEoMTU6MCk=::SW5wdXQgTWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX01FUkxJTl9QQUNLRVRfRk9STUFU::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvciAtIG91dHB1dA=="
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9TSVpFX1c=::Mw==::Q29tbWFuZC1zaXplIGlucHV0IHdpZHRo"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FERFJFU1NfQUxJR05NRU5U::MA==::QWRkcmVzcyBhbGlnbm1lbnQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcnNwX211eF8wMDI="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::Njk=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MQ==::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcnNwX211eF8wMDE="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::NA==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::Njk=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMQ==::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcnNwX211eA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MjE=::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::Njk=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDE=::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcnNwX2RlbXV4XzAxNA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::NQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcnNwX2RlbXV4XzAxMA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Mg==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcnNwX2RlbXV4XzAwMg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Mg==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MA==::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcnNwX2RlbXV4XzAwMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MA==::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcnNwX2RlbXV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::NA==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTUwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfY21kX211eF8wMTQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::NQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::Njk=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMSwx::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfY21kX211eF8wMDI="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::Njk=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwx::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfY21kX211eF8wMDE="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::Njk=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MQ==::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfY21kX211eA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::NA==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::Njk=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMQ==::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfY21kX2RlbXV4XzAwMg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfY21kX2RlbXV4XzAwMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::NA==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MjE=::VmFsaWQgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfY21kX2RlbXV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MjE=::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MjE=::VmFsaWQgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9idXJzdF9hZGFwdGVy"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBCdXJzdCBBZGFwdGVy"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWNjb21tb2RhdGVzIHRoZSBidXJzdCBjYXBhYmlsaXRpZXMgb2YgZWFjaCBpbnRlcmZhY2UgaW4gdGhlIHN5c3RlbSwgaW5jbHVkaW5nIGludGVyZmFjZXMgdGhhdCBkbyBub3Qgc3VwcG9ydCBidXJzdCB0cmFuc2ZlcnMsIHRyYW5zbGF0aW5nIGJ1cnN0IHNpemVzIGFzIHJlcXVpcmVkLg=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::OTY=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::Nzk=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzE=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::OTE=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::ODk=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfSA==::OTM=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfTA==::OTI=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::ODg=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::ODA=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NjU=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX05BUlJPV19TSVpF::MA==::c2xhdmUgbmFycm93IHNpemVkIG91dHB1dA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5fTkFSUk9XX1NJWkU=::MA==::c2xhdmUgbmFycm93IHNpemVkIG91dHB1dA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX0ZJWEVE::MA==::c2xhdmUgZml4ZWQgb3V0cHV0"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX0NPTVBMRVRFX1dSQVA=::MA==::c2xhdmUgY29tcGxldGUgd3JhcCBvdXRwdXQ="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX0JZVEVfQ05UX0g=::Nzk=::TWF4aW11bSBvdXRwdXQgcGFja2V0IGJ5dGUgY291bnQgaW5kZXg="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1VUX0JVUlNUV1JBUF9I::ODc=::TWF4aW11bSBvdXRwdXQgcGFja2V0IGJ1cnN0d3JhcCBpbmRleA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09NUFJFU1NFRF9SRUFEX1NVUFBPUlQ=::MA==::Q29tcHJlc3NlZCByZWFkIHN1cHBvcnQ="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QllURUVOQUJMRV9TWU5USEVTSVM=::MQ==::Qnl0ZWVuYWJsZSBTeW50aGVzaXMgU3VwcG9ydA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElQRV9JTlBVVFM=::MA==::QnVyc3QgYWRhcHRlciBpbnB1dCBwaXBlbGluZQ=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9fV1JBUF9TVVBQT1JU::MA==::Tm8gd3JhcCBjYWxjdWxhdGlvbiBzdXBwb3J0"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DT01QTEVURV9XUkFQX1NVUFBPUlQ=::MA==::SW4tY29tcGxldGVkIHdyYXAgY2FsY3VsYXRpb24gc3VwcG9ydA=="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX0NPTlNUX01BU0s=::Mw==::QnVyc3R3cmFwLWNvbnN0YW50IG1hc2s="
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX0NPTlNUX1ZBTFVF::Mw==::QnVyc3R3cmFwLWNvbnN0YW50IHZhbHVl"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QURBUFRFUl9WRVJTSU9O::MTMuMQ==::U2VsZWN0IGJ1cnN0IGFkYXB0ZXIgdmVyc2lvbg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl90cmFmZmljX2xpbWl0ZXI="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBUcmFmZmljIExpbWl0ZXI="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "RW5zdXJlcyB0aGUgcmVzcG9uc2VzIGFycml2ZSBpbiBvcmRlciwgc2ltcGxpZnlpbmcgdGhlIFFzeXMgcmVzcG9uc2UgbmV0d29yay4="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::MTAy::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::OTg=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::Nzk=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzE=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::NjY=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9I::MTA4::UGFja2V0IHRocmVhZCBJRCBmaWVsZCBpbmRleCBNU0I="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9M::MTA4::UGFja2V0IHRocmVhZCBJRCBmaWVsZCBpbmRleCBMU0I="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUX0xFTkdUSA==::MQ==::TWF4aW11bSBidXJzdCBsZW5ndGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX09VVFNUQU5ESU5HX1JFU1BPTlNFUw==::MzY=::TWF4aW11bSBvdXRzdGFuZGluZyByZXNwb25zZXM="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVE::MA==::UGlwZWxpbmU="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MjE=::VmFsaWQgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5GT1JDRV9PUkRFUg==::MQ==::RW5mb3JjZSBvcmRlcg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9IQVpBUkRT::MA==::UHJldmVudCBoYXphcmRz"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1VQUE9SVFNfUE9TVEVEX1dSSVRFUw==::MQ==::SGF6YXJkIHByZXZlbnRpb246IHBvc3RlZCB3cml0ZSBzdXBwb3J0"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1VQUE9SVFNfTk9OUE9TVEVEX1dSSVRFUw==::MA==::SGF6YXJkIHByZXZlbnRpb246IG5vbi1wb3N0ZWQgd3JpdGUgc3VwcG9ydA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVPUkRFUg==::MA==::RW5hYmxlIHJlb3JkZXIgYnVmZmVy"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcm91dGVyXzAyOA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MA==::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgw::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NDY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MTg=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::OTM=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::OTE=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODk=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODU=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NDk=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTA=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTAz::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDoxOjB4MDoweDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTAyOjEwMCkgcmVzcG9uc2Vfc3RhdHVzKDk5Ojk4KSBjYWNoZSg5Nzo5NCkgcHJvdGVjdGlvbig5Mzo5MSkgdGhyZWFkX2lkKDkwKSBkZXN0X2lkKDg5Ojg1KSBzcmNfaWQoODQ6ODApIHFvcyg3OSkgYmVnaW5fYnVyc3QoNzgpIGRhdGFfc2lkZWJhbmQoNzcpIGFkZHJfc2lkZWJhbmQoNzYpIGJ1cnN0X3R5cGUoNzU6NzQpIGJ1cnN0X3NpemUoNzM6NzEpIGJ1cnN0d3JhcCg3MDo2MikgYnl0ZV9jbnQoNjE6NTMpIHRyYW5zX2V4Y2x1c2l2ZSg1MikgdHJhbnNfbG9jayg1MSkgdHJhbnNfcmVhZCg1MCkgdHJhbnNfd3JpdGUoNDkpIHRyYW5zX3Bvc3RlZCg0OCkgdHJhbnNfY29tcHJlc3NlZF9yZWFkKDQ3KSBhZGRyKDQ2OjE4KSBieXRlZW4oMTc6MTYpIGRhdGEoMTU6MCk=::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcm91dGVyXzAyMg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MCw1LDIsNiwz::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDAwMDEsMDAwMTAsMDAxMDAsMDEwMDAsMTAwMDA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxyZWFkLHJlYWQsd3JpdGUsd3JpdGU=::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MCwweDAsMHgwLDB4MA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgwLDB4MCwweDAsMHgwLDB4MA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMSwx::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMCww::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDowMDAwMToweDA6MHgwOmJvdGg6MTowOjA6MSw1OjAwMDEwOjB4MDoweDA6cmVhZDoxOjA6MDoxLDI6MDAxMDA6MHgwOjB4MDpyZWFkOjE6MDowOjEsNjowMTAwMDoweDA6MHgwOndyaXRlOjE6MDowOjEsMzoxMDAwMDoweDA6MHgwOndyaXRlOjE6MDowOjE=::U0xBVkVTX0lORk8="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcm91dGVyXzAxMA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MCwx::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDEsMTA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxyZWFk::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgwLDB4MA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwx::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCww::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDowMToweDA6MHgwOmJvdGg6MTowOjA6MSwxOjEwOjB4MDoweDA6cmVhZDoxOjA6MDox::U0xBVkVTX0lORk8="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcm91dGVyXzAwOQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MA==::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgw::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDoxOjB4MDoweDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcm91dGVyXzAwOA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MCwxLDcsNA==::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDAwMSwwMDEwLDAxMDAsMTAwMA==::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxyZWFkLHJlYWQsd3JpdGU=::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MCwweDAsMHgw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgwLDB4MCwweDAsMHgw::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMQ==::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMA==::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDowMDAxOjB4MDoweDA6Ym90aDoxOjA6MDoxLDE6MDAxMDoweDA6MHgwOnJlYWQ6MTowOjA6MSw3OjAxMDA6MHgwOjB4MDpyZWFkOjE6MDowOjEsNDoxMDAwOjB4MDoweDA6d3JpdGU6MTowOjA6MQ==::U0xBVkVTX0lORk8="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcm91dGVyXzAwNA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::NQ==::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgxODQwMDAwMA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgxODQwMjAwMA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::NToxOjB4MTg0MDAwMDA6MHgxODQwMjAwMDpib3RoOjE6MDowOjE=::U0xBVkVTX0lORk8="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::NQ==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcm91dGVyXzAwMg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::Mw==::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHg4MDAwMDAw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgxMDAwMDAwMA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MzoxOjB4ODAwMDAwMDoweDEwMDAwMDAwOmJvdGg6MTowOjA6MQ==::U0xBVkVTX0lORk8="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::Mw==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcm91dGVyXzAwMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::Myw4LDE1LDI=::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDAwMSwwMDEwLDAxMDAsMTAwMA==::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3RoLGJvdGgsYm90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHg4MDAwMDAwLDB4MTQwMDAwMDAsMHgxODIwMDAwMCwweDE4NDAyODAw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgxMDAwMDAwMCwweDE4MDAwMDAwLDB4MTg0MDAwMDAsMHgxODQwMzAwMA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMQ==::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMA==::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MzowMDAxOjB4ODAwMDAwMDoweDEwMDAwMDAwOmJvdGg6MTowOjA6MSw4OjAwMTA6MHgxNDAwMDAwMDoweDE4MDAwMDAwOmJvdGg6MTowOjA6MSwxNTowMTAwOjB4MTgyMDAwMDA6MHgxODQwMDAwMDpib3RoOjE6MDowOjEsMjoxMDAwOjB4MTg0MDI4MDA6MHgxODQwMzAwMDpib3RoOjE6MDowOjE=::U0xBVkVTX0lORk8="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::Mw==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzFfcm91dGVy"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::Myw4LDE1LDUsMiw2LDEsOSw0LDE2LDE4LDExLDEzLDIwLDEwLDEyLDcsMCwxNywxNCwxOQ==::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDAwMDAwMDAwMDAwMDAwMDAwMDAxLDAwMDAwMDAwMDAwMDAwMDAwMDEwMCwwMDAwMDAwMDAwMTAwMDAwMDAwMDAsMDAwMDAwMTAwMDAwMDAwMDAwMDAwLDAwMDAwMDAwMDEwMDAwMDAwMDAwMCwwMDAwMDAwMDAwMDAwMDAwMDEwMDAsMTAwMDAwMDAwMDAwMDAwMDAwMDAwLDAxMDAwMDAwMDAwMDAwMDAwMDAwMCwwMDEwMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDEwMDAwMDAwMDAwMDAwMDAwLDAwMDAwMTAwMDAwMDAwMDAwMDAwMCwwMDAwMDAwMTAwMDAwMDAwMDAwMDAsMDAwMDAwMDAxMDAwMDAwMDAwMDAwLDAwMDAwMDAwMDAwMDEwMDAwMDAwMCwwMDAwMDAwMDAwMDAwMDEwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMTAwMDAwLDAwMDAwMDAwMDAwMDAwMDAwMDAxMCwwMDAxMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAxMDAwMDAwMDAwLDAwMDAwMDAwMDAwMDAxMDAwMDAwMCwwMDAwMDAwMDAwMDAwMDAwMTAwMDA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3RoLGJvdGgsYm90aCxib3RoLGJvdGgsYm90aCxib3RoLGJvdGgsYm90aCxib3RoLGJvdGgsYm90aCxib3RoLGJvdGgsYm90aCxib3RoLHJlYWQsYm90aCxib3RoLHJlYWQ=::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHg4MDAwMDAwLDB4MTQwMDAwMDAsMHgxODIwMDAwMCwweDE4NDAwMDAwLDB4MTg0MDI4MDAsMHgxODQwMzAwMCwweDE4NDAzNDAwLDB4MTg0MDNjMDAsMHgxODQwM2MyMCwweDE4NDAzYzQwLDB4MTg0MDNjNjAsMHgxODQwM2M4MCwweDE4NDAzY2EwLDB4MTg0MDNjYzAsMHgxODQwM2NlMCwweDE4NDAzZDAwLDB4MTg0MDNkMjAsMHgxODQwM2Q0MCwweDE4NDAzZDUwLDB4MTg0MDNkNjAsMHgxODQwM2Q2OA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgxMDAwMDAwMCwweDE4MDAwMDAwLDB4MTg0MDAwMDAsMHgxODQwMjAwMCwweDE4NDAzMDAwLDB4MTg0MDM0MDAsMHgxODQwMzgwMCwweDE4NDAzYzIwLDB4MTg0MDNjNDAsMHgxODQwM2M2MCwweDE4NDAzYzgwLDB4MTg0MDNjYTAsMHgxODQwM2NjMCwweDE4NDAzY2UwLDB4MTg0MDNkMDAsMHgxODQwM2QyMCwweDE4NDAzZDQwLDB4MTg0MDNkNTAsMHgxODQwM2Q2MCwweDE4NDAzZDY4LDB4MTg0MDNkNzA=::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDE=::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDA=::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDA=::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MzowMDAwMDAwMDAwMDAwMDAwMDAwMDE6MHg4MDAwMDAwOjB4MTAwMDAwMDA6Ym90aDoxOjA6MDoxLDg6MDAwMDAwMDAwMDAwMDAwMDAwMTAwOjB4MTQwMDAwMDA6MHgxODAwMDAwMDpib3RoOjE6MDowOjEsMTU6MDAwMDAwMDAwMDEwMDAwMDAwMDAwOjB4MTgyMDAwMDA6MHgxODQwMDAwMDpib3RoOjE6MDowOjEsNTowMDAwMDAxMDAwMDAwMDAwMDAwMDA6MHgxODQwMDAwMDoweDE4NDAyMDAwOmJvdGg6MTowOjA6MSwyOjAwMDAwMDAwMDEwMDAwMDAwMDAwMDoweDE4NDAyODAwOjB4MTg0MDMwMDA6Ym90aDoxOjA6MDoxLDY6MDAwMDAwMDAwMDAwMDAwMDAxMDAwOjB4MTg0MDMwMDA6MHgxODQwMzQwMDpib3RoOjE6MDowOjEsMToxMDAwMDAwMDAwMDAwMDAwMDAwMDA6MHgxODQwMzQwMDoweDE4NDAzODAwOmJvdGg6MTowOjA6MSw5OjAxMDAwMDAwMDAwMDAwMDAwMDAwMDoweDE4NDAzYzAwOjB4MTg0MDNjMjA6Ym90aDoxOjA6MDoxLDQ6MDAxMDAwMDAwMDAwMDAwMDAwMDAwOjB4MTg0MDNjMjA6MHgxODQwM2M0MDpib3RoOjE6MDowOjEsMTY6MDAwMDEwMDAwMDAwMDAwMDAwMDAwOjB4MTg0MDNjNDA6MHgxODQwM2M2MDpib3RoOjE6MDowOjEsMTg6MDAwMDAxMDAwMDAwMDAwMDAwMDAwOjB4MTg0MDNjNjA6MHgxODQwM2M4MDpib3RoOjE6MDowOjEsMTE6MDAwMDAwMDEwMDAwMDAwMDAwMDAwOjB4MTg0MDNjODA6MHgxODQwM2NhMDpib3RoOjE6MDowOjEsMTM6MDAwMDAwMDAxMDAwMDAwMDAwMDAwOjB4MTg0MDNjYTA6MHgxODQwM2NjMDpib3RoOjE6MDowOjEsMjA6MDAwMDAwMDAwMDAwMTAwMDAwMDAwOjB4MTg0MDNjYzA6MHgxODQwM2NlMDpib3RoOjE6MDowOjEsMTA6MDAwMDAwMDAwMDAwMDAxMDAwMDAwOjB4MTg0MDNjZTA6MHgxODQwM2QwMDpib3RoOjE6MDowOjEsMTI6MDAwMDAwMDAwMDAwMDAwMTAwMDAwOjB4MTg0MDNkMDA6MHgxODQwM2QyMDpib3RoOjE6MDowOjEsNzowMDAwMDAwMDAwMDAwMDAwMDAwMTA6MHgxODQwM2QyMDoweDE4NDAzZDQwOmJvdGg6MTowOjA6MSwwOjAwMDEwMDAwMDAwMDAwMDAwMDAwMDoweDE4NDAzZDQwOjB4MTg0MDNkNTA6cmVhZDoxOjA6MDoxLDE3OjAwMDAwMDAwMDAwMTAwMDAwMDAwMDoweDE4NDAzZDUwOjB4MTg0MDNkNjA6Ym90aDoxOjA6MDoxLDE0OjAwMDAwMDAwMDAwMDAxMDAwMDAwMDoweDE4NDAzZDYwOjB4MTg0MDNkNjg6Ym90aDoxOjA6MDoxLDE5OjAwMDAwMDAwMDAwMDAwMDAxMDAwMDoweDE4NDAzZDY4OjB4MTg0MDNkNzA6cmVhZDoxOjA6MDox::U0xBVkVTX0lORk8="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::Mw==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zY19maWZv"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIFNpbmdsZSBDbG9jayBGSUZP"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lNQk9MU19QRVJfQkVBVA==::MQ==::U3ltYm9scyBwZXIgYmVhdA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "QklUU19QRVJfU1lNQk9M::MTIy::Qml0cyBwZXIgc3ltYm9s"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSA==::MzM=::RklGTyBkZXB0aA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9XSURUSA==::MA==::Q2hhbm5lbCB3aWR0aA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::MA==::RXJyb3Igd2lkdGg="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1BBQ0tFVFM=::MQ==::VXNlIHBhY2tldHM="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZJTExfTEVWRUw=::MA==::VXNlIGZpbGwgbGV2ZWw="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU1QVFlfTEFURU5DWQ==::MQ==::TGF0ZW5jeQ=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTU9SWV9CTE9DS1M=::MA==::VXNlIG1lbW9yeSBibG9ja3M="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NUT1JFX0ZPUldBUkQ=::MA==::VXNlIHN0b3JlIGFuZCBmb3J3YXJk"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9GVUxMX0lG::MA==::VXNlIGFsbW9zdCBmdWxsIHN0YXR1cw=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9FTVBUWV9JRg==::MA==::VXNlIGFsbW9zdCBlbXB0eSBzdGF0dXM="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUExJQ0lUX01BWENIQU5ORUw=::ZmFsc2U=::RW5hYmxlIGV4cGxpY2l0IG1heENoYW5uZWw="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQTElDSVRfTUFYQ0hBTk5FTA==::MA==::RXhwbGljaXQgbWF4Q2hhbm5lbA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV9hZ2VudA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIEFnZW50"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjb21tYW5kIHBhY2tldHMgYW5kIGlzc3VlcyB0aGUgcmVzdWx0aW5nIHRyYW5zYWN0aW9ucyB0byB0aGUgQXZhbG9uIGludGVyZmFjZS4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZXhwbGFuYXRpb25zIG9mIHRoZSBidXJzdGluZyBwcm9wZXJ0aWVzLg=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::MTIw::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::MTE4::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::MTE3::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::MTE2::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::OTE=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::ODk=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::Njk=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::OTY=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::ODg=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::ODA=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::Nzk=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzE=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NjU=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::NjY=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::MTAy::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::OTg=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1NZTUJPTF9X::OA==::UGFja2V0IHN5bWJvbCB3aWR0aA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfU1lNQk9MUw==::MA==::YnVyc3Rjb3VudFN5bWJvbHM="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfVw==::NQ==::YnVyc3Rjb3VudCB3aWR0aA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fQ01E::MA==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHRyYW5zYWN0aW9ucw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9GSUZPX09WRVJGTE9X::MQ==::UHJldmVudCBGSUZPIG92ZXJmbG93"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JZVEVfQ05U::MTY=::TWF4aW11bSBieXRlLWNvdW50IHZhbHVl"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUV1JBUA==::NTEx::TWF4aW11bSBidXJzdHdyYXAgdmFsdWU="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "SUQ=::Mw==::U2xhdmUgSUQ="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "RUNDX0VOQUJMRQ==::MA==::RUNDX0VOQUJMRQ=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9tYXN0ZXJfYWdlbnQ="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIE1hc3RlciBBZ2VudA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "VHJhbnNsYXRlcyBBdmFsb24tTU0gbWFzdGVyIHRyYW5zYWN0aW9ucyBpbnRvIFFzeXMgY29tbWFuZCBwYWNrZXRzIGFuZCB0cmFuc2xhdGVzIHRoZSBRc3lzIEF2YWxvbi1NTSBzbGF2ZSByZXNwb25zZSBwYWNrZXRzIGludG8gQXZhbG9uLU1NIHJlc3BvbnNlcy4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgYW4gZXhwbGFuYXRpb24gb2YgYnVyc3RpbmcgYmVoYXZpb3Iu"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::MTIw::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::MTE4::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::MTE3::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::MTE2::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19I::OTc=::UGFja2V0IHFvcyBzaWRlYmFuZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19M::OTc=::UGFja2V0IHFvcyBzaWRlYmFuZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfSA==::OTU=::UGFja2V0IGRhdGEgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfTA==::OTU=::UGFja2V0IGRhdGEgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfSA==::OTQ=::UGFja2V0IGFkZHJlc3Mgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfTA==::OTQ=::UGFja2V0IGFkZHJlc3Mgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfSA==::OTM=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfTA==::OTI=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0g=::MTE1::UGFja2V0IGNhY2hlIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0w=::MTEy::UGFja2V0IGNhY2hlIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9I::MTA4::UGFja2V0IHRocmVhZCBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9M::MTA4::UGFja2V0IHRocmVhZCBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::OTE=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::ODk=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0VYQ0xVU0lWRQ==::NzA=::UGFja2V0IGV4Y2x1c2l2ZSB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::Njk=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::OTY=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::MTEx::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::MTA5::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::ODg=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::ODA=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::Nzk=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NzE=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NjQ=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NjU=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::NjY=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::Njc=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::Njg=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::MTAy::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::OTg=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::MTA3::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::MTAz::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::MTIx::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjE=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::Mw==::QXZhbG9uLU1NIGJ1cnN0Y291bnQgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MQ==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoMTIwOjExOCkgcmVzcG9uc2Vfc3RhdHVzKDExNzoxMTYpIGNhY2hlKDExNToxMTIpIHByb3RlY3Rpb24oMTExOjEwOSkgdGhyZWFkX2lkKDEwOCkgZGVzdF9pZCgxMDc6MTAzKSBzcmNfaWQoMTAyOjk4KSBxb3MoOTcpIGJlZ2luX2J1cnN0KDk2KSBkYXRhX3NpZGViYW5kKDk1KSBhZGRyX3NpZGViYW5kKDk0KSBidXJzdF90eXBlKDkzOjkyKSBidXJzdF9zaXplKDkxOjg5KSBidXJzdHdyYXAoODg6ODApIGJ5dGVfY250KDc5OjcxKSB0cmFuc19leGNsdXNpdmUoNzApIHRyYW5zX2xvY2soNjkpIHRyYW5zX3JlYWQoNjgpIHRyYW5zX3dyaXRlKDY3KSB0cmFuc19wb3N0ZWQoNjYpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg2NSkgYWRkcig2NDozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fUlNQ::MA==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHJlc3BvbnNlcw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "SUQ=::MA==::TWFzdGVyIElE"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX1ZBTFVF::NTEx::QnVyc3R3cmFwIHZhbHVl"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FDSEVfVkFMVUU=::MA==::Q2FjaGUgdmFsdWU="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVVJFX0FDQ0VTU19CSVQ=::MQ==::U2VjdXJpdHkgYml0IHZhbHVl"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV90cmFuc2xhdG9y"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIFRyYW5zbGF0b3I="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgdGhlIEF2YWxvbi1NTSBzbGF2ZSBpbnRlcmZhY2UgdG8gYSBzaW1wbGlmaWVkIHJlcHJlc2VudGF0aW9uIHRoYXQgdGhlIFFzeXMgbmV0d29yayB1c2VzLiBSZWZlciB0byB0aGUgQXZhbG9uIEludGVyZmFjZSBTcGVjaWZpY2F0aW9ucyAoaHR0cDovL3d3dy5hbHRlcmEuY29tL2xpdGVyYXR1cmUvbWFudWFsL21ubF9hdmFsb25fc3BlYy5wZGYpIGZvciBkZWZpbml0aW9ucyBvZiB0aGUgQXZhbG9uLU1NIHNpZ25hbHMgYW5kIGV4cGxhbmF0aW9ucyBvZiB0aGUgYnVyc3RpbmcgcHJvcGVydGllcyBhbmQgYWRkcmVzcyBhbGlnbm1lbnQu"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::OQ==::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9X::MTY=::Q29tcG9uZW50IERhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VUFWX0RBVEFfVw==::MTY=::TmV0d29yayBEYXRhIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::Mg==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VUFWX0JZVEVFTkFCTEVfVw==::Mg==::TmV0d29yayBieXRlZW5hYmxlIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MTA=::TmV0d29yayBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mg==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MQ==::cmVhZExhdGVuY3k="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVA==::MA==::c2V0dXBUaW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVA==::MA==::d3JpdGVXYWl0VGltZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlU::MA==::cmVhZFdhaXRUaW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xE::MA==::SG9sZCB0aW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfVElNSU5HX1VOSVRT::MQ==::VGltaW5nIHVuaXRz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRB::MQ==::VXNlIHJlYWRkYXRh"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFREFUQQ==::MQ==::VXNlIHdyaXRlZGF0YQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUQ=::MA==::VXNlIHJlYWQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRF::MQ==::VXNlIHdyaXRl"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOQlVSU1RUUkFOU0ZFUg==::MA==::VXNlIGJlZ2luYnVyc3R0cmFuc2Zlcg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOVFJBTlNGRVI=::MA==::VXNlIGJlZ2ludHJhbnNmZXI="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0JZVEVFTkFCTEU=::MQ==::VXNlIGJ5dGVlbmFibGU="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0NISVBTRUxFQ1Q=::MQ==::VXNlIGNoaXBzZWxlY3Q="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FERFJFU1M=::MQ==::VXNlIGFkZHJlc3M="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0JVUlNUQ09VTlQ=::MA==::VXNlIGJ1cnN0Y291bnQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRBVkFMSUQ=::MA==::VXNlIHJlYWRkYXRhdmFsaWQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dBSVRSRVFVRVNU::MA==::VXNlIHdhaXRyZXF1ZXN0"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFQllURUVOQUJMRQ==::MA==::VXNlIHdyaXRlYnl0ZWVuYWJsZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0xPQ0s=::MA==::VXNlIGxvY2s="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FWX0NMS0VO::MQ==::VXNlIGNvbXBvbmVudCBjbGtlbg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1VBVl9DTEtFTg==::MA==::VXNlIG5ldHdvcmsgY2xrZW4="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX09VVFBVVEVOQUJMRQ==::MA==::VXNlIG91dHB1dGVuYWJsZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RFQlVHQUNDRVNT::MA==::VXNlIGRlYnVnYWNjZXNz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfU1lNQk9MU19QRVJfV09SRA==::Mg==::U3ltYm9scyBwZXIgd29yZA=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19TWU1CT0xT::MA==::QWRkcmVzcyBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9TWU1CT0xT::MA==::QnVyc3Rjb3VudCBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQ09OU1RBTlRfQlVSU1RfQkVIQVZJT1I=::MA==::Q29tcG9uZW50IGNvbnN0YW50QnVyc3RCZWhhdmlvcg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VUFWX0NPTlNUQU5UX0JVUlNUX0JFSEFWSU9S::MA==::TmV0d29yayBjb25zdGFudEJ1cnN0QmVoYXZpb3I="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUkVRVUlSRV9VTkFMSUdORURfQUREUkVTU0VT::MA==::VW5hbGlnbmVkIGFkZHJlc3Nlcw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTlM=::MQ==::bWF4UGVuZGluZ1JlYWRUcmFuc2FjdGlvbnM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfV1JJVEVfVFJBTlNBQ1RJT05T::MA==::bWF4UGVuZGluZ1dyaXRlVHJhbnNhY3Rpb25z"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfSU5URVJMRUFWRUJVUlNUUw==::MA==::aW50ZXJsZWF2ZUJ1cnN0cw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQklUU19QRVJfU1lNQk9M::OA==::Qml0cy9zeW1ib2w="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfSVNCSUdFTkRJQU4=::MA==::aXNCaWdFbmRpYW4="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU0dST1VQ::MA==::Q29tcG9uZW50IGFkZHJlc3MgZ3JvdXA="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NHUk9VUA==::MA==::TmV0d29yayBhZGRyZXNzIGdyb3Vw"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJPVVRHT0lOR1NJR05BTFM=::MA==::cmVnaXN0ZXJPdXRnb2luZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJJTkNPTUlOR1NJR05BTFM=::MA==::cmVnaXN0ZXJJbmNvbWluZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQUxXQVlTQlVSU1RNQVhCVVJTVA==::MA==::QWx3YXlzIGJ1cnN0IG1heC1idXJzdA=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hJUFNFTEVDVF9USFJPVUdIX1JFQURMQVRFTkNZ::MA==::Q2hpcHNlbGVjdCB0aHJvdWdoIHJlYWQgbGF0ZW5jeQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xPQ0tfUkFURQ==::NTAwMDAwMDA=::Q0xPQ0tfUkFURQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlUX0NZQ0xFUw==::MA==::QVZfUkVBRF9XQUlUX0NZQ0xFUw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVF9DWUNMRVM=::MA==::QVZfV1JJVEVfV0FJVF9DWUNMRVM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVF9DWUNMRVM=::MA==::QVZfU0VUVVBfV0FJVF9DWUNMRVM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xEX0NZQ0xFUw==::MA==::QVZfREFUQV9IT0xEX0NZQ0xFUw=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9tYXN0ZXJfdHJhbnNsYXRvcg=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIE1hc3RlciBUcmFuc2xhdG9y"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgdGhlIEF2YWxvbi1NTSBtYXN0ZXIgaW50ZXJmYWNlIHRvIGEgc2ltcGxlciByZXByZXNlbnRhdGlvbiB0aGF0IHRoZSBRc3lzIG5ldHdvcmsgdXNlcy4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZGVmaW5pdGlvbnMgb2YgdGhlIEF2YWxvbi1NTSBzaWduYWxzIGFuZCBleHBsYW5hdGlvbnMgb2YgdGhlIGJ1cnN0aW5nIHByb3BlcnRpZXMu"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::OQ==::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9X::MTY=::Q29tcG9uZW50IERhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::Mg==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MTA=::TmV0d29yayBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mg==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MA==::cmVhZExhdGVuY3k="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVA==::MA==::d3JpdGVXYWl0VGltZQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlU::MQ==::cmVhZFdhaXRUaW1l"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xE::MA==::SG9sZCB0aW1l"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVA==::MA==::c2V0dXBUaW1l"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRB::MQ==::VXNlIHJlYWRkYXRh"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFREFUQQ==::MA==::VXNlIHdyaXRlZGF0YQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUQ=::MQ==::VXNlIHJlYWQ="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRF::MA==::VXNlIHdyaXRl"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOQlVSU1RUUkFOU0ZFUg==::MA==::VXNlIGJlZ2luYnVyc3R0cmFuc2Zlcg=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOVFJBTlNGRVI=::MA==::VXNlIGJlZ2ludHJhbnNmZXI="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0JZVEVFTkFCTEU=::MA==::VXNlIGJ5dGVlbmFibGU="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0NISVBTRUxFQ1Q=::MA==::VXNlIGNoaXBzZWxlY3Q="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FERFJFU1M=::MQ==::VXNlIGFkZHJlc3M="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0JVUlNUQ09VTlQ=::MA==::VXNlIGJ1cnN0Y291bnQ="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RFQlVHQUNDRVNT::MA==::VXNlIGRlYnVnYWNjZXNz"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0NMS0VO::MA==::VXNlIG5ldHdvcmsgY2xrZW4="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRBVkFMSUQ=::MA==::VXNlIHJlYWRkYXRhdmFsaWQ="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dBSVRSRVFVRVNU::MQ==::VXNlIHdhaXRyZXF1ZXN0"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0xPQ0s=::MA==::VXNlIGxvY2s="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfU1lNQk9MU19QRVJfV09SRA==::Mg==::U3ltYm9scyBwZXIgd29yZA=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19TWU1CT0xT::MA==::QWRkcmVzcyBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9TWU1CT0xT::MA==::QnVyc3Rjb3VudCBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQ09OU1RBTlRfQlVSU1RfQkVIQVZJT1I=::MA==::Q29tcG9uZW50IGNvbnN0YW50QnVyc3RCZWhhdmlvcg=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VUFWX0NPTlNUQU5UX0JVUlNUX0JFSEFWSU9S::MA==::TmV0d29yayBjb25zdGFudEJ1cnN0QmVoYXZpb3I="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTlM=::NjQ=::bWF4UGVuZGluZ1JlYWRUcmFuc2FjdGlvbnM="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfSU5URVJMRUFWRUJVUlNUUw==::MA==::aW50ZXJsZWF2ZUJ1cnN0cw=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQklUU19QRVJfU1lNQk9M::OA==::Qml0cy9zeW1ib2w="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfSVNCSUdFTkRJQU4=::MA==::aXNCaWdFbmRpYW4="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU0dST1VQ::MA==::Q29tcG9uZW50IGFkZHJlc3MgZ3JvdXA="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NHUk9VUA==::MA==::TmV0d29yayBhZGRyZXNzIGdyb3Vw"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJPVVRHT0lOR1NJR05BTFM=::MA==::cmVnaXN0ZXJPdXRnb2luZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJJTkNPTUlOR1NJR05BTFM=::MA==::cmVnaXN0ZXJJbmNvbWluZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfQUxXQVlTQlVSU1RNQVhCVVJTVA==::MA==::QWx3YXlzIGJ1cnN0IG1heC1idXJzdA=="
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lOQ19SRVNFVA==::MA==::VXNlIHN5bmNocm9ub3VzIHJlc2V0cw=="
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbW1faW50ZXJjb25uZWN0XzA="
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "udp_generator" -library "q_sys" -name IP_COMPONENT_NAME "dWRwX2dlbmVyYXRvcg=="
set_global_assignment -entity "udp_generator" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "VURQIGdlbmVyYXRvcg=="
set_global_assignment -entity "udp_generator" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "udp_generator" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "udp_generator" -library "q_sys" -name IP_COMPONENT_AUTHOR "TS4gRHppZXdpZWNraQ=="
set_global_assignment -entity "udp_generator" -library "q_sys" -name IP_COMPONENT_VERSION "MS4w"
set_global_assignment -entity "udp_generator" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QSBibG9jayBmb3IgbWFraW5nIFVEUC9JUCBzaGVsbCBvdmVyIHJlY2VpdmVkIGRhdGE="
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfdHhfbXVsdGlwbGV4ZXI="
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIE11bHRpcGxleGVy"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::MQ==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "Yml0c1BlclN5bWJvbA==::OA==::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "dXNlUGFja2V0cw==::dHJ1ZQ==::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::dHJ1ZQ==::aW5Vc2VQYWNrZXRz"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::QVVUTw==::aW5Vc2VFbXB0eVBvcnQ="
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "bnVtSW5wdXRJbnRlcmZhY2Vz::Mg==::TnVtYmVyIG9mIElucHV0IFBvcnRz"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "cGFja2V0U2NoZWR1bGluZw==::dHJ1ZQ==::VXNlIFBhY2tldCBTY2hlZHVsaW5n"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "dXNlSGlnaEJpdHNPZkNoYW5uZWw=::dHJ1ZQ==::VXNlIGhpZ2ggYml0cyB0byBpbmRpY2F0ZSBzb3VyY2UgcG9ydA=="
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "c3ltYm9sc1BlckJlYXQ=::NA==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZXJyb3JXaWR0aA==::MQ==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MQ==::aW5FcnJvcldpZHRo"
set_global_assignment -entity "q_sys_sysid" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfc3lzaWQ="
set_global_assignment -entity "q_sys_sysid" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "U3lzdGVtIElEIFBlcmlwaGVyYWwgSW50ZWwgRlBHQSBJUA=="
set_global_assignment -entity "q_sys_sysid" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_sysid" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_sysid" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_sysid" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_sysid" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWQ=::LTg3MTEwOTE0::MzIgYml0IFN5c3RlbSBJRA=="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfc3lzX2Nsa190aW1lcg=="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "SW50ZXJ2YWwgVGltZXIgSW50ZWwgRlBHQSBJUA=="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "YWx3YXlzUnVu::ZmFsc2U=::Tm8gU3RhcnQvU3RvcCBjb250cm9sIGJpdHM="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y291bnRlclNpemU=::MzI=::Q291bnRlciBTaXpl"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "Zml4ZWRQZXJpb2Q=::ZmFsc2U=::Rml4ZWQgcGVyaW9k"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "cGVyaW9k::MTA=::UGVyaW9k"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "cGVyaW9kVW5pdHM=::TVNFQw==::VW5pdHM="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRPdXRwdXQ=::ZmFsc2U=::U3lzdGVtIHJlc2V0IG9uIHRpbWVvdXQgKFdhdGNoZG9nKQ=="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "c25hcHNob3Q=::dHJ1ZQ==::UmVhZGFibGUgc25hcHNob3Q="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGltZW91dFB1bHNlT3V0cHV0::ZmFsc2U=::VGltZW91dCBwdWxzZSAoMSBjbG9jayB3aWRlKQ=="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "c3lzdGVtRnJlcXVlbmN5::NTAwMDAwMDA=::c3lzdGVtRnJlcXVlbmN5"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "d2F0Y2hkb2dQdWxzZQ==::Mg==::V2F0Y2hkb2cgVGltZXIgUHVsc2UgTGVuZ3Ro"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGltZXJQcmVzZXQ=::RlVMTF9GRUFUVVJFRA==::UHJlc2V0cw=="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "cGVyaW9kVW5pdHNTdHJpbmc=::bXM=::cGVyaW9kVW5pdHNTdHJpbmc="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "dmFsdWVJblNlY29uZA==::MC4wMDE=::dmFsdWVJblNlY29uZA=="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "bG9hZFZhbHVl::NDk5OTk5::bG9hZFZhbHVl"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXVsdA==::MC4wMDE=::bXVsdA=="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlja3NQZXJTZWM=::MTAwLjA=::dGlja3NQZXJTZWM="
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2xhdmVfYWRkcmVzc193aWR0aA==::Mw==::c2xhdmVfYWRkcmVzc193aWR0aA=="
set_global_assignment -entity "sensor_algo" -library "q_sys" -name IP_COMPONENT_NAME "c2Vuc29yX2FsZ28="
set_global_assignment -entity "sensor_algo" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "c2Vuc29yX3JlY29uX3Jtc19jYWxp"
set_global_assignment -entity "sensor_algo" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "sensor_algo" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "sensor_algo" -library "q_sys" -name IP_COMPONENT_AUTHOR "UWluLExpcWluZyAxNy5KdWx5LDIwMjQ="
set_global_assignment -entity "sensor_algo" -library "q_sys" -name IP_COMPONENT_VERSION "MQ=="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfb3V0cHV0X3Bpbw=="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08pIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "Yml0TW9kaWZ5aW5nT3V0UmVn::dHJ1ZQ==::RW5hYmxlIGluZGl2aWR1YWwgYml0IHNldHRpbmcvY2xlYXJpbmc="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::T3V0cHV0::RGlyZWN0aW9u"
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRWYWx1ZQ==::MA==::T3V0cHV0IFBvcnQgUmVzZXQgVmFsdWU="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "d2lkdGg=::OA==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::dHJ1ZQ==::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::ZmFsc2U=::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_instance_assignment -name MESSAGE_DISABLE 332060 -entity altera_onchip_flash
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX29uY2hpcF9mbGFzaA=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "T24tQ2hpcCBGbGFzaCBJbnRlbCBGUEdBIElQ"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIE9uLUNoaXAgRmxhc2ggTWVnYWZ1bmN0aW9uIHdpdGggQXZhbG9uLU1NIFNsYXZlIEludGVyZmFjZS4="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9JTlRFUkZBQ0U=::UGFyYWxsZWw=::RGF0YSBpbnRlcmZhY2U="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9CVVJTVF9NT0RF::SW5jcmVtZW50aW5n::UmVhZCBidXJzdCBtb2Rl"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9CVVJTVF9DT1VOVA==::OA==::UmVhZCBidXJzdCBjb3VudA=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09ORklHVVJBVElPTl9NT0RF::U2luZ2xlIFVuY29tcHJlc3NlZCBJbWFnZQ==::Q29uZmlndXJhdGlvbiBNb2Rl"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SX0FDQ0VTU19NT0RF::UmVhZCBhbmQgd3JpdGUsUmVhZCBhbmQgd3JpdGUsUmVhZCBhbmQgd3JpdGUsUmVhZCBhbmQgd3JpdGUsUmVhZCBhbmQgd3JpdGU=::QWNjZXNzIE1vZGU="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5pdEZsYXNoQ29udGVudA==::ZmFsc2U=::SW5pdGlhbGl6ZSBmbGFzaCBjb250ZW50"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "YXV0b0luaXRpYWxpemF0aW9uRmlsZU5hbWU=::cV9zeXNfb25jaGlwX2ZsYXNo::YXV0b0luaXRpYWxpemF0aW9uRmlsZU5hbWU="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSVF9OQU1F::MTBNNTBEQUY0ODRDNkdFUw==::UEFSVF9OQU1F"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19SQVRF::NTAwMDAwMDA=::QVVUT19DTE9DS19SQVRF"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0lE::NTA=::REVWSUNFX0lE"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SMV9TVEFSVF9BRERS::MA==::U0VDVE9SMV9TVEFSVF9BRERS"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SMV9FTkRfQUREUg==::ODE5MQ==::U0VDVE9SMV9FTkRfQUREUg=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SMl9TVEFSVF9BRERS::ODE5Mg==::U0VDVE9SMl9TVEFSVF9BRERS"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SMl9FTkRfQUREUg==::MTYzODM=::U0VDVE9SMl9FTkRfQUREUg=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SM19TVEFSVF9BRERS::MTYzODQ=::U0VDVE9SM19TVEFSVF9BRERS"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SM19FTkRfQUREUg==::MTE0Njg3::U0VDVE9SM19FTkRfQUREUg=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SNF9TVEFSVF9BRERS::MTE0Njg4::U0VDVE9SNF9TVEFSVF9BRERS"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SNF9FTkRfQUREUg==::MTg4NDE1::U0VDVE9SNF9FTkRfQUREUg=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SNV9TVEFSVF9BRERS::MTg4NDE2::U0VDVE9SNV9TVEFSVF9BRERS"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SNV9FTkRfQUREUg==::MzYwNDQ3::U0VDVE9SNV9FTkRfQUREUg=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUlOX1ZBTElEX0FERFI=::MA==::TUlOX1ZBTElEX0FERFI="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1ZBTElEX0FERFI=::MzYwNDQ3::TUFYX1ZBTElEX0FERFI="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUlOX1VGTV9WQUxJRF9BRERS::MA==::TUlOX1VGTV9WQUxJRF9BRERS"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1VGTV9WQUxJRF9BRERS::MTE0Njg3::TUFYX1VGTV9WQUxJRF9BRERS"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SMV9NQVA=::MQ==::U0VDVE9SMV9NQVA="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SMl9NQVA=::Mg==::U0VDVE9SMl9NQVA="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SM19NQVA=::Mw==::U0VDVE9SM19NQVA="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SNF9NQVA=::NA==::U0VDVE9SNF9NQVA="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SNV9NQVA=::NQ==::U0VDVE9SNV9NQVA="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQU5HRTFfRU5EX0FERFI=::MzYwNDQ3::QUREUl9SQU5HRTFfRU5EX0FERFI="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQU5HRTJfRU5EX0FERFI=::MzYwNDQ3::QUREUl9SQU5HRTJfRU5EX0FERFI="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQU5HRTFfT0ZGU0VU::MjA0OA==::QUREUl9SQU5HRTFfT0ZGU0VU"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQU5HRTJfT0ZGU0VU::MA==::QUREUl9SQU5HRTJfT0ZGU0VU"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQU5HRTNfT0ZGU0VU::MA==::QUREUl9SQU5HRTNfT0ZGU0VU"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZNTV9EQVRBX0FERFJfV0lEVEg=::MTk=::QVZNTV9EQVRBX0FERFJfV0lEVEg="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZNTV9EQVRBX0RBVEFfV0lEVEg=::MzI=::QVZNTV9EQVRBX0RBVEFfV0lEVEg="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZNTV9EQVRBX0JVUlNUQ09VTlRfV0lEVEg=::NA==::QVZNTV9EQVRBX0JVUlNUQ09VTlRfV0lEVEg="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VDVE9SX1JFQURfUFJPVEVDVElPTl9NT0RF::MA==::U0VDVE9SX1JFQURfUFJPVEVDVElPTl9NT0RF"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfU0VRX1JFQURfREFUQV9DT1VOVA==::NA==::RkxBU0hfU0VRX1JFQURfREFUQV9DT1VOVA=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfQUREUl9BTElHTk1FTlRfQklUUw==::Mg==::RkxBU0hfQUREUl9BTElHTk1FTlRfQklUUw=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfUkVBRF9DWUNMRV9NQVhfSU5ERVg=::NQ==::RkxBU0hfUkVBRF9DWUNMRV9NQVhfSU5ERVg="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfUkVTRVRfQ1lDTEVfTUFYX0lOREVY::MTI=::RkxBU0hfUkVTRVRfQ1lDTEVfTUFYX0lOREVY"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfQlVTWV9USU1FT1VUX0NZQ0xFX01BWF9JTkRFWA==::NjA=::RkxBU0hfQlVTWV9USU1FT1VUX0NZQ0xFX01BWF9JTkRFWA=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfRVJBU0VfVElNRU9VVF9DWUNMRV9NQVhfSU5ERVg=::MTc1MDAwMDA=::RkxBU0hfRVJBU0VfVElNRU9VVF9DWUNMRV9NQVhfSU5ERVg="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfV1JJVEVfVElNRU9VVF9DWUNMRV9NQVhfSU5ERVg=::MTUyNTA=::RkxBU0hfV1JJVEVfVElNRU9VVF9DWUNMRV9NQVhfSU5ERVg="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSQUxMRUxfTU9ERQ==::dHJ1ZQ==::UEFSQUxMRUxfTU9ERQ=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9BTkRfV1JJVEVfTU9ERQ==::dHJ1ZQ==::UkVBRF9BTkRfV1JJVEVfTU9ERQ=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "V1JBUFBJTkdfQlVSU1RfTU9ERQ==::ZmFsc2U=::V1JBUFBJTkdfQlVSU1RfTU9ERQ=="
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRFVBTF9CT09U::RmFsc2U=::SVNfRFVBTF9CT09U"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVJBTV9TS0lQ::VHJ1ZQ==::SVNfRVJBTV9TS0lQ"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfQ09NUFJFU1NFRF9JTUFHRQ==::RmFsc2U=::SVNfQ09NUFJFU1NFRF9JTUFHRQ=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbXNnZG1hX3R4"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TW9kdWxhciBTY2F0dGVyLUdhdGhlciBETUEgSW50ZWwgRlBHQSBJUA=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "TW9kdWxhciBTR0RNQSB3aXRoIGNvbmZpZ3VyYXRpb24gbW9kZSBvZiBBdmFsb24tTU0gdG8gQXZhbG9uLU1NLCBBdmFsb24tTU0gdG8gQXZhbG9uLVNULCBvciBBdmFsb24tU1QgdG8gQXZhbG9uLU1NIGRhdGEgcGF0aHM="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TU9ERQ==::MQ==::RE1BIE1vZGU="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9XSURUSA==::MzI=::RGF0YSBXaWR0aA=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZJWF9BRERSRVNTX1dJRFRI::MA==::VXNlIHByZS1kZXRlcm1pbmVkIG1hc3RlciBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9GSUZPX0RFUFRI::MzI=::RGF0YSBQYXRoIEZJRk8gRGVwdGg="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTQ1JJUFRPUl9GSUZPX0RFUFRI::OA==::RGVzY3JpcHRvciBGSUZPIERlcHRo"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JZVEU=::MjA0OA==::TWF4aW11bSBUcmFuc2ZlciBMZW5ndGg="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBTlNGRVJfVFlQRQ==::QWxpZ25lZCBBY2Nlc3Nlcw==::VHJhbnNmZXIgVHlwZQ=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RfRU5BQkxF::MA==::QnVyc3QgRW5hYmxl"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5IQU5DRURfRkVBVFVSRVM=::MA==::RW5hYmxlIEV4dGVuZGVkIEZlYXR1cmUgU3VwcG9ydA=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RSSURFX0VOQUJMRV9ERVJJVkVE::MA==::U3RyaWRlIEVuYWJsZQ=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0VUX0VOQUJMRQ==::MQ==::UGFja2V0IFN1cHBvcnQgRW5hYmxl"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0VUX0VOQUJMRV9ERVJJVkVE::MQ==::UGFja2V0IFN1cHBvcnQgRW5hYmxl"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfRU5BQkxF::MQ==::RXJyb3IgRW5hYmxl"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfRU5BQkxFX0RFUklWRUQ=::MQ==::RXJyb3IgRW5hYmxl"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::MQ==::RXJyb3IgV2lkdGg="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9FTkFCTEU=::MA==::Q2hhbm5lbCBFbmFibGU="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9FTkFCTEVfREVSSVZFRA==::MA==::Q2hhbm5lbCBFbmFibGU="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFRkVUQ0hFUl9FTkFCTEU=::MQ==::RW5hYmxlcyBQcmUtRmV0Y2hpbmcgbW9kdWxl"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFRkVUQ0hFUl9SRUFEX0JVUlNUX0VOQUJMRQ==::MA==::RW5hYmxlIGJ1cnN0aW5nIG9uIGRlc2NyaXB0b3IgcmVhZCBtYXN0ZXI="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFRkVUQ0hFUl9EQVRBX1dJRFRI::MzI=::RGF0YSBXaWR0aCBvZiBEZXNjcmlwdG9yIHJlYWQvd3JpdGUgbWFzdGVyIGRhdGEgcGF0aC4="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Ng==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19NTV9SRUFEX0FERFJFU1NfTUFQ::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdkZHIzX3JhbS5hdmwnIHN0YXJ0PScweDgwMDAwMDAnIGVuZD0nMHgxMDAwMDAwMCcgLz48L2FkZHJlc3MtbWFwPg==::QXV0byBBRERSRVNTX01BUA=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19NTV9SRUFEX0FERFJFU1NfV0lEVEg=::QWRkcmVzc1dpZHRoID0gMjg=::QXV0byBBRERSRVNTX1dJRFRI"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19NTV9XUklURV9BRERSRVNTX1dJRFRI::QWRkcmVzc1dpZHRoID0gLTE=::QXV0byBBRERSRVNTX1dJRFRI"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVNDUklQVE9SX1JFQURfTUFTVEVSX0FERFJFU1NfTUFQ::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdkZXNjcmlwdG9yX21lbW9yeS5zMScgc3RhcnQ9JzB4MTg0MDAwMDAnIGVuZD0nMHgxODQwMjAwMCcgLz48L2FkZHJlc3MtbWFwPg==::QXV0byBBRERSRVNTX01BUA=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVNDUklQVE9SX1JFQURfTUFTVEVSX0FERFJFU1NfV0lEVEg=::QWRkcmVzc1dpZHRoID0gMjk=::QXV0byBBRERSRVNTX1dJRFRI"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVNDUklQVE9SX1dSSVRFX01BU1RFUl9BRERSRVNTX01BUA==::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdkZXNjcmlwdG9yX21lbW9yeS5zMScgc3RhcnQ9JzB4MTg0MDAwMDAnIGVuZD0nMHgxODQwMjAwMCcgLz48L2FkZHJlc3MtbWFwPg==::QXV0byBBRERSRVNTX01BUA=="
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVNDUklQVE9SX1dSSVRFX01BU1RFUl9BRERSRVNTX1dJRFRI::QWRkcmVzc1dpZHRoID0gMjk=::QXV0byBBRERSRVNTX1dJRFRI"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_NAME "cmVhZF9tYXN0ZXI="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "UmVhZCBNYXN0ZXIgSW50ZWwgRlBHQSBJUA=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QSBtb2R1bGUgcmVzcG9uc2libGUgZm9yIHN0cmVhbWluZyBkYXRhIGZyb20gbWVtb3J5"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9XSURUSA==::MzI=::RGF0YSBXaWR0aA=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "TEVOR1RIX1dJRFRI::MTI=::TGVuZ3RoIFdpZHRo"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSA==::MzI=::RklGTyBEZXB0aA=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZJWF9BRERSRVNTX1dJRFRI::MA==::VXNlIHByZS1kZXRlcm1pbmVkIG1hc3RlciBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RSSURFX0VOQUJMRQ==::MA==::U3RyaWRlIEFkZHJlc3NpbmcgRW5hYmxl"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RfRU5BQkxF::MA==::QnVyc3QgRW5hYmxl"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBTlNGRVJfVFlQRQ==::QWxpZ25lZCBBY2Nlc3Nlcw==::VHJhbnNmZXIgVHlwZQ=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0VUX0VOQUJMRQ==::MQ==::UGFja2V0IFN1cHBvcnQgRW5hYmxl"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfRU5BQkxF::MQ==::RXJyb3IgRW5hYmxl"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::MQ==::RXJyb3IgV2lkdGg="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9FTkFCTEU=::MA==::Q2hhbm5lbCBFbmFibGU="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEVfV0lEVEg=::NA==::QllURV9FTkFCTEVfV0lEVEg="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEVfV0lEVEhfTE9HMg==::Mg==::QllURV9FTkFCTEVfV0lEVEhfTE9HMg=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19BRERSRVNTX1dJRFRI::Mjg=::QVVUT19BRERSRVNTX1dJRFRI"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUkVTU19XSURUSA==::Mjg=::QUREUkVTU19XSURUSA=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSF9MT0cy::NQ==::RklGT19ERVBUSF9MT0cy"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lNQk9MX1dJRFRI::OA==::U1lNQk9MX1dJRFRI"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNQkVSX09GX1NZTUJPTFM=::NA==::TlVNQkVSX09GX1NZTUJPTFM="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNQkVSX09GX1NZTUJPTFNfTE9HMg==::Mg==::TlVNQkVSX09GX1NZTUJPTFNfTE9HMg=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUX0NPVU5UX1dJRFRI::MQ==::TUFYX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "VU5BTElHTkVEX0FDQ0VTU0VTX0VOQUJMRQ==::MA==::VU5BTElHTkVEX0FDQ0VTU0VTX0VOQUJMRQ=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "T05MWV9GVUxMX0FDQ0VTU19FTkFCTEU=::MA==::T05MWV9GVUxMX0FDQ0VTU19FTkFCTEU="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RfV1JBUFBJTkdfU1VQUE9SVA==::MA==::QlVSU1RfV1JBUFBJTkdfU1VQUE9SVA=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJPR1JBTU1BQkxFX0JVUlNUX0VOQUJMRQ==::MA==::UFJPR1JBTU1BQkxFX0JVUlNUX0VOQUJMRQ=="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUX0NPVU5U::MQ==::TUFYX0JVUlNUX0NPVU5U"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklGT19TUEVFRF9PUFRJTUlaQVRJT04=::MQ==::RklGT19TUEVFRF9PUFRJTUlaQVRJT04="
set_global_assignment -entity "read_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RSSURFX1dJRFRI::MQ==::U1RSSURFX1dJRFRI"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX21zZ2RtYV9wcmVmZXRjaGVy"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TW9kdWxhciBTR0RNQSBQcmVmZXRjaGVyIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "U0dETUEgcHJlZmV0Y2hpbmcgYmxvY2s="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5IQU5DRURfRkVBVFVSRVM=::MA==::RW5hYmxlIEV4dGVuZGVkIEZlYXR1cmUgU3VwcG9ydA=="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1JFQURfQlVSU1Q=::MA==::RW5hYmxlIGJ1cnN0aW5nIG9uIGRlc2NyaXB0b3IgcmVhZCBtYXN0ZXI="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1JFQURfQlVSU1RfQ09VTlQ=::MQ==::TUFYX1JFQURfQlVSU1RfQ09VTlQ="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1JFQURfQlVSU1RfQ09VTlRfV0lEVEg=::MQ==::TUFYX1JFQURfQlVSU1RfQ09VTlRfV0lEVEg="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9XSURUSA==::MzI=::RGF0YSBXaWR0aCBvZiBEZXNjcmlwdG9yIHJlYWQvd3JpdGUgbWFzdGVyIGRhdGEgcGF0aA=="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9CWVRFRU5BQkxFX1dJRFRI::NA==::REFUQV9CWVRFRU5BQkxFX1dJRFRI"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTQ1JJUFRPUl9XSURUSA==::MTI4::REVTQ1JJUFRPUl9XSURUSA=="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19BRERSRVNTX1dJRFRI::Mjk=::QVVUT19BRERSRVNTX1dJRFRI"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUkVTU19XSURUSA==::Mjk=::QUREUkVTU19XSURUSA=="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "R1VJX0RFU0NSSVBUT1JfRklGT19ERVBUSA==::OA==::RGVzY3JpcHRvciBGSUZPIERlcHRo"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVTUE9OU0VfRklGT19ERVBUSA==::MTY=::UkVTUE9OU0VfRklGT19ERVBUSA=="
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVTUE9OU0VfRklGT19ERVBUSF9MT0cy::NA==::UkVTUE9OU0VfRklGT19ERVBUSF9MT0cy"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZJWF9BRERSRVNTX1dJRFRI::MA==::VXNlIHByZS1kZXRlcm1pbmVkIG1hc3RlciBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_NAME "ZGlzcGF0Y2hlcg=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TW9kdWxhciBTR0RNQSBEaXNwYXRjaGVyIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "U0dETUEgc2NoZWR1bGluZyBibG9jaw=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFRkVUQ0hFUl9VU0VfQ0FTRQ==::MQ==::RW5hYmxlcyBQcmUtRmV0Y2hpbmcgdXNlIGNhc2Vz"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "TU9ERQ==::Mg==::VHJhbnNmZXIgTW9kZQ=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVTUE9OU0VfUE9SVA==::MQ==::UkVTUE9OU0VfUE9SVA=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTQ1JJUFRPUl9JTlRFUkZBQ0U=::MQ==::REVTQ1JJUFRPUl9JTlRFUkZBQ0U="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTQ1JJUFRPUl9GSUZPX0RFUFRI::OA==::RGVzY3JpcHRvciBGSUZPIERlcHRo"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5IQU5DRURfRkVBVFVSRVM=::MA==::RW5hYmxlIEV4dGVuZGVkIEZlYXR1cmUgU3VwcG9ydA=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTQ1JJUFRPUl9XSURUSA==::MTI4::REVTQ1JJUFRPUl9XSURUSA=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTQ1JJUFRPUl9CWVRFRU5BQkxFX1dJRFRI::MTY=::REVTQ1JJUFRPUl9CWVRFRU5BQkxFX1dJRFRI"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJFU1NfV0lEVEg=::Mw==::Q1NSX0FERFJFU1NfV0lEVEg="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9XSURUSA==::MzI=::REFUQV9XSURUSA=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9GSUZPX0RFUFRI::MzI=::REFUQV9GSUZPX0RFUFRI"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JZVEU=::MjA0OA==::TUFYX0JZVEU="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBTlNGRVJfVFlQRQ==::QWxpZ25lZCBBY2Nlc3Nlcw==::VFJBTlNGRVJfVFlQRQ=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RfRU5BQkxF::MA==::QlVSU1RfRU5BQkxF"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUX0NPVU5U::Mg==::TUFYX0JVUlNUX0NPVU5U"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RfV1JBUFBJTkdfU1VQUE9SVA==::MA==::QlVSU1RfV1JBUFBJTkdfU1VQUE9SVA=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RSSURFX0VOQUJMRQ==::MA==::U1RSSURFX0VOQUJMRQ=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1NUUklERQ==::MQ==::TUFYX1NUUklERQ=="
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJPR1JBTU1BQkxFX0JVUlNUX0VOQUJMRQ==::MA==::UFJPR1JBTU1BQkxFX0JVUlNUX0VOQUJMRQ=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfbXNnZG1hX3J4"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TW9kdWxhciBTY2F0dGVyLUdhdGhlciBETUEgSW50ZWwgRlBHQSBJUA=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "TW9kdWxhciBTR0RNQSB3aXRoIGNvbmZpZ3VyYXRpb24gbW9kZSBvZiBBdmFsb24tTU0gdG8gQXZhbG9uLU1NLCBBdmFsb24tTU0gdG8gQXZhbG9uLVNULCBvciBBdmFsb24tU1QgdG8gQXZhbG9uLU1NIGRhdGEgcGF0aHM="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TU9ERQ==::Mg==::RE1BIE1vZGU="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9XSURUSA==::MzI=::RGF0YSBXaWR0aA=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZJWF9BRERSRVNTX1dJRFRI::MA==::VXNlIHByZS1kZXRlcm1pbmVkIG1hc3RlciBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9GSUZPX0RFUFRI::MzI=::RGF0YSBQYXRoIEZJRk8gRGVwdGg="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVTQ1JJUFRPUl9GSUZPX0RFUFRI::OA==::RGVzY3JpcHRvciBGSUZPIERlcHRo"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JZVEU=::MjA0OA==::TWF4aW11bSBUcmFuc2ZlciBMZW5ndGg="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBTlNGRVJfVFlQRQ==::QWxpZ25lZCBBY2Nlc3Nlcw==::VHJhbnNmZXIgVHlwZQ=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RfRU5BQkxF::MA==::QnVyc3QgRW5hYmxl"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5IQU5DRURfRkVBVFVSRVM=::MA==::RW5hYmxlIEV4dGVuZGVkIEZlYXR1cmUgU3VwcG9ydA=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RSSURFX0VOQUJMRV9ERVJJVkVE::MA==::U3RyaWRlIEVuYWJsZQ=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0VUX0VOQUJMRQ==::MQ==::UGFja2V0IFN1cHBvcnQgRW5hYmxl"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0VUX0VOQUJMRV9ERVJJVkVE::MQ==::UGFja2V0IFN1cHBvcnQgRW5hYmxl"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfRU5BQkxF::MQ==::RXJyb3IgRW5hYmxl"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfRU5BQkxFX0RFUklWRUQ=::MQ==::RXJyb3IgRW5hYmxl"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::Ng==::RXJyb3IgV2lkdGg="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9FTkFCTEVfREVSSVZFRA==::MA==::Q2hhbm5lbCBFbmFibGU="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFRkVUQ0hFUl9FTkFCTEU=::MQ==::RW5hYmxlcyBQcmUtRmV0Y2hpbmcgbW9kdWxl"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFRkVUQ0hFUl9SRUFEX0JVUlNUX0VOQUJMRQ==::MA==::RW5hYmxlIGJ1cnN0aW5nIG9uIGRlc2NyaXB0b3IgcmVhZCBtYXN0ZXI="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFRkVUQ0hFUl9EQVRBX1dJRFRI::MzI=::RGF0YSBXaWR0aCBvZiBEZXNjcmlwdG9yIHJlYWQvd3JpdGUgbWFzdGVyIGRhdGEgcGF0aC4="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Ng==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19NTV9SRUFEX0FERFJFU1NfV0lEVEg=::QWRkcmVzc1dpZHRoID0gLTE=::QXV0byBBRERSRVNTX1dJRFRI"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19NTV9XUklURV9BRERSRVNTX01BUA==::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdkZHIzX3JhbS5hdmwnIHN0YXJ0PScweDgwMDAwMDAnIGVuZD0nMHgxMDAwMDAwMCcgLz48L2FkZHJlc3MtbWFwPg==::QXV0byBBRERSRVNTX01BUA=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19NTV9XUklURV9BRERSRVNTX1dJRFRI::QWRkcmVzc1dpZHRoID0gMjg=::QXV0byBBRERSRVNTX1dJRFRI"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVNDUklQVE9SX1JFQURfTUFTVEVSX0FERFJFU1NfTUFQ::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdkZXNjcmlwdG9yX21lbW9yeS5zMScgc3RhcnQ9JzB4MTg0MDAwMDAnIGVuZD0nMHgxODQwMjAwMCcgLz48L2FkZHJlc3MtbWFwPg==::QXV0byBBRERSRVNTX01BUA=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVNDUklQVE9SX1JFQURfTUFTVEVSX0FERFJFU1NfV0lEVEg=::QWRkcmVzc1dpZHRoID0gMjk=::QXV0byBBRERSRVNTX1dJRFRI"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVNDUklQVE9SX1dSSVRFX01BU1RFUl9BRERSRVNTX01BUA==::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdkZXNjcmlwdG9yX21lbW9yeS5zMScgc3RhcnQ9JzB4MTg0MDAwMDAnIGVuZD0nMHgxODQwMjAwMCcgLz48L2FkZHJlc3MtbWFwPg==::QXV0byBBRERSRVNTX01BUA=="
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVNDUklQVE9SX1dSSVRFX01BU1RFUl9BRERSRVNTX1dJRFRI::QWRkcmVzc1dpZHRoID0gMjk=::QXV0byBBRERSRVNTX1dJRFRI"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_NAME "d3JpdGVfbWFzdGVy"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "V3JpdGUgTWFzdGVyIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QSBtb2R1bGUgcmVzcG9uc2libGUgZm9yIHdyaXRpbmcgc3RyZWFtaW5nIGRhdGEgdG8gbWVtb3J5"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9XSURUSA==::MzI=::RGF0YSBXaWR0aA=="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "TEVOR1RIX1dJRFRI::MTI=::TGVuZ3RoIFdpZHRo"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSA==::MzI=::RklGTyBEZXB0aA=="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZJWF9BRERSRVNTX1dJRFRI::MA==::VXNlIHByZS1kZXRlcm1pbmVkIG1hc3RlciBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RSSURFX0VOQUJMRQ==::MA==::U3RyaWRlIEFkZHJlc3NpbmcgRW5hYmxl"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RfRU5BQkxF::MA==::QnVyc3QgRW5hYmxl"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBTlNGRVJfVFlQRQ==::QWxpZ25lZCBBY2Nlc3Nlcw==::VHJhbnNmZXIgVHlwZQ=="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0VUX0VOQUJMRQ==::MQ==::UGFja2V0IFN1cHBvcnQgRW5hYmxl"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfRU5BQkxF::MQ==::RXJyb3IgRW5hYmxl"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::Ng==::RXJyb3IgV2lkdGg="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEVfV0lEVEg=::NA==::QllURV9FTkFCTEVfV0lEVEg="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEVfV0lEVEhfTE9HMg==::Mg==::QllURV9FTkFCTEVfV0lEVEhfTE9HMg=="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19BRERSRVNTX1dJRFRI::Mjg=::QVVUT19BRERSRVNTX1dJRFRI"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUkVTU19XSURUSA==::Mjg=::QUREUkVTU19XSURUSA=="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSF9MT0cy::NQ==::RklGT19ERVBUSF9MT0cy"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lNQk9MX1dJRFRI::OA==::U1lNQk9MX1dJRFRI"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNQkVSX09GX1NZTUJPTFM=::NA==::TlVNQkVSX09GX1NZTUJPTFM="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNQkVSX09GX1NZTUJPTFNfTE9HMg==::Mg==::TlVNQkVSX09GX1NZTUJPTFNfTE9HMg=="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUX0NPVU5UX1dJRFRI::MQ==::TUFYX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "VU5BTElHTkVEX0FDQ0VTU0VTX0VOQUJMRQ==::MA==::VU5BTElHTkVEX0FDQ0VTU0VTX0VOQUJMRQ=="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "T05MWV9GVUxMX0FDQ0VTU19FTkFCTEU=::MA==::T05MWV9GVUxMX0FDQ0VTU19FTkFCTEU="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVSU1RfV1JBUFBJTkdfU1VQUE9SVA==::MA==::QlVSU1RfV1JBUFBJTkdfU1VQUE9SVA=="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJPR1JBTU1BQkxFX0JVUlNUX0VOQUJMRQ==::MA==::UFJPR1JBTU1BQkxFX0JVUlNUX0VOQUJMRQ=="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUX0NPVU5U::MQ==::TUFYX0JVUlNUX0NPVU5U"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklGT19TUEVFRF9PUFRJTUlaQVRJT04=::MQ==::RklGT19TUEVFRF9PUFRJTUlaQVRJT04="
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RSSURFX1dJRFRI::MQ==::U1RSSURFX1dJRFRI"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNUVUFMX0JZVEVTX1RSQU5TRkVSUkVEX1dJRFRI::MTM=::QUNUVUFMX0JZVEVTX1RSQU5TRkVSUkVEX1dJRFRI"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZnJhbWVfdGltZXI="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "SW50ZXJ2YWwgVGltZXIgSW50ZWwgRlBHQSBJUA=="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "YWx3YXlzUnVu::ZmFsc2U=::Tm8gU3RhcnQvU3RvcCBjb250cm9sIGJpdHM="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y291bnRlclNpemU=::MzI=::Q291bnRlciBTaXpl"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "Zml4ZWRQZXJpb2Q=::ZmFsc2U=::Rml4ZWQgcGVyaW9k"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "cGVyaW9k::NTAwMA==::UGVyaW9k"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "cGVyaW9kVW5pdHM=::Q0xPQ0tT::VW5pdHM="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRPdXRwdXQ=::ZmFsc2U=::U3lzdGVtIHJlc2V0IG9uIHRpbWVvdXQgKFdhdGNoZG9nKQ=="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "c25hcHNob3Q=::ZmFsc2U=::UmVhZGFibGUgc25hcHNob3Q="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGltZW91dFB1bHNlT3V0cHV0::dHJ1ZQ==::VGltZW91dCBwdWxzZSAoMSBjbG9jayB3aWRlKQ=="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "c3lzdGVtRnJlcXVlbmN5::NTAwMDAwMDA=::c3lzdGVtRnJlcXVlbmN5"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "d2F0Y2hkb2dQdWxzZQ==::Mg==::V2F0Y2hkb2cgVGltZXIgUHVsc2UgTGVuZ3Ro"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGltZXJQcmVzZXQ=::Q1VTVE9N::UHJlc2V0cw=="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "cGVyaW9kVW5pdHNTdHJpbmc=::Y2xvY2tz::cGVyaW9kVW5pdHNTdHJpbmc="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "dmFsdWVJblNlY29uZA==::MC4w::dmFsdWVJblNlY29uZA=="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "bG9hZFZhbHVl::NDk5OQ==::bG9hZFZhbHVl"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXVsdA==::Mi4wRS04::bXVsdA=="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlja3NQZXJTZWM=::MTAwMDAuMA==::dGlja3NQZXJTZWM="
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2xhdmVfYWRkcmVzc193aWR0aA==::Mw==::c2xhdmVfYWRkcmVzc193aWR0aA=="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZXh0X2ZsYXNo"
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "R2VuZXJpYyBRVUFEIFNQSSBDb250cm9sbGVyIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "VGhpcyBjb21wb25lbnQgaXMgYSBnZW5lcmljIFFTUEkgY29udHJvbGxlciB3aGljaCBhbGxvd3MgdXNlciB0byBhY2Nlc3MgYW55IFFTUEkgZGV2aWNlcw=="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "VU5JUVVFX0lE::cV9zeXNfZXh0X2ZsYXNo::VU5JUVVFX0lE"
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y2xrRnJlcQ==::MA==::Y2xrRnJlcQ=="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NfV0lEVEg=::MQ==::Q1NfV0lEVEg="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9XSURUSA==::MjQ=::QUREUl9XSURUSA=="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVNJX1dJRFRI::NA==::QVNJX1dJRFRI"
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVNNSV9BRERSX1dJRFRI::MzI=::QVNNSV9BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFXzRCWVRFX0FERFI=::MQ==::RW5hYmxlIDQtYnl0ZSBhZGRyZXNzaW5nIG1vZGU="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "RERBU0k=::MQ==::RGlzYWJsZSBkZWRpY2F0ZWQgQWN0aXZlIFNlcmlhbCBpbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfVFlQRQ==::TWljcm9uNTEy::Q29uZmlndXJhdGlvbiBkZXZpY2UgdHlwZQ=="
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fTU9ERQ==::UVVBRA==::Q2hvb3NlIEkvTyBtb2Rl"
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv::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::ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZXh0X2ZsYXNoX2VwY3FfY29udHJvbGxlcl9pbnN0YW5jZV9uYW1l"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "cV9zeXNfZXh0X2ZsYXNoX2VwY3FfY29udHJvbGxlcl9pbnN0YW5jZV9uYW1l"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv::Tm9uZQ==::ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9XSURUSA==::MjQ=::QUREUl9XSURUSA=="
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVNNSV9BRERSX1dJRFRI::MzI=::QVNNSV9BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVNJX1dJRFRI::NA==::QVNJX1dJRFRI"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NfV0lEVEg=::MQ==::Q1NfV0lEVEg="
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hJUF9TRUxT::MQ==::TnVtYmVyIG9mIENoaXAgU2VsZWN0cyB1c2Vk"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "RERBU0k=::MQ==::RGlzYWJsZSBkZWRpY2F0ZWQgQWN0aXZlIFNlcmlhbCBpbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFXzRCWVRFX0FERFI=::MQ==::RW5hYmxlIDQtYnl0ZSBhZGRyZXNzaW5nIG1vZGU="
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfVFlQRQ==::RVBDUUw1MTI=::Q29uZmlndXJhdGlvbiBkZXZpY2UgdHlwZQ=="
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fTU9ERQ==::UVVBRA==::Q2hvb3NlIEkvTyBtb2Rl"
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX2VwY3FfY29udHJvbGxlcl9hcmI="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "RVBDUSBTZXJpYWwgRmxhc2ggY29udHJvbGxlciBjb3JlIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "VGhpcyBjb21wb25lbnQgaXMgYSBzZXJpYWwgZmxhc2ggY29udHJvbGxlciB3aGljaCBhbGxvd3MgdXNlciB0byBhY2Nlc3MgSW50ZWwgRlBHQSBFUENRIGRldmljZXM="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv::Tm9uZQ==::ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv"
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9XSURUSA==::MjQ=::QUREUl9XSURUSA=="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVNNSV9BRERSX1dJRFRI::MzI=::QVNNSV9BRERSX1dJRFRI"
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVNJX1dJRFRI::NA==::QVNJX1dJRFRI"
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NfV0lEVEg=::MQ==::Q1NfV0lEVEg="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0hJUF9TRUxT::MQ==::TnVtYmVyIG9mIENoaXAgU2VsZWN0cyB1c2Vk"
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "RERBU0k=::MQ==::RGlzYWJsZSBkZWRpY2F0ZWQgQWN0aXZlIFNlcmlhbCBpbnRlcmZhY2U="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFXzRCWVRFX0FERFI=::MQ==::RW5hYmxlIDQtYnl0ZSBhZGRyZXNzaW5nIG1vZGU="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfVFlQRQ==::RVBDUUw1MTI=::Q29uZmlndXJhdGlvbiBkZXZpY2UgdHlwZQ=="
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fTU9ERQ==::UVVBRA==::Q2hvb3NlIEkvTyBtb2Rl"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZXh0X2ZsYXNoX2FzbWlfcGFyYWxsZWxfaW5zdGFuY2VfbmFtZQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "cV9zeXNfZXh0X2ZsYXNoX2FzbWlfcGFyYWxsZWxfaW5zdGFuY2VfbmFtZQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::QXJyaWEgVg==::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0JYX0FVVE9fQkxBQ0tCT1g=::QUxM::Q0JYX0FVVE9fQkxBQ0tCT1g="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVBDU19UWVBF::RVBDUUw1MTI=::Q29uZmlndXJhdGlvbiBkZXZpY2UgdHlwZQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3JlYWRfcmRpZA==::dHJ1ZQ==::VXNlICdyZWFkX3JkaWQnIGFuZCByZGlkX291dCcgcG9ydHM="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3JlYWRfc3RhdHVz::dHJ1ZQ==::VXNlICdyZWFkX3N0YXR1cycgcG9ydA=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3JlYWRfYWRkcmVzcw==::ZmFsc2U=::VXNlICdyZWFkX2FkZHJlc3MnIHBvcnQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2Zhc3RfcmVhZA==::dHJ1ZQ==::VXNlICdmYXN0X3JlYWQnIHBvcnQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9XSURUSA==::UVVBRA==::Q2hvb3NlIEkvTyBtb2Rl"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3JlYWRfZHVtbXljbGs=::dHJ1ZQ==::UmVhZCBkZXZpY2UgZHVtbXkgY2xvY2s="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3dyaXRl::dHJ1ZQ==::RW5hYmxlIHdyaXRlIG9wZXJhdGlvbg=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3dyZW4=::dHJ1ZQ==::VXNlICd3cmVuJyBwb3J0"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3NpbmdsZV93cml0ZQ==::ZmFsc2U=::U2luZ2xlIGJ5dGUgd3JpdGU="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3BhZ2Vfd3JpdGU=::dHJ1ZQ==::UGFnZSB3cml0ZQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFHRV9TSVpF::MjU2::J3BhZ2Ugd3JpdGUnIHNpemU="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9lYWI=::ZmFsc2U=::U3RvcmUgJ3BhZ2Ugd3JpdGUnIGRhdGEgaW4gbG9naWMgZWxlbWVudHM="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2RpZV9lcmFzZQ==::ZmFsc2U=::VXNlICdkaWVfZXJhc2UnIHBvcnQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3NlY3Rvcl9lcmFzZQ==::dHJ1ZQ==::VXNlICdzZWN0b3JfZXJhc2UnIHBvcnQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3NlY3Rvcl9wcm90ZWN0::dHJ1ZQ==::VXNlICdzZWN0b3JfcHJvdGVjdCcgcG9ydA=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2V4NGJfYWRkcg==::ZmFsc2U=::VXNlICdleDRiX2FkZHInIHBvcnQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9hc21pYmxvY2s=::dHJ1ZQ==::RGlzYWJsZSBkZWRpY2F0ZWQgQWN0aXZlIFNlcmlhbCBpbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9CVUxLX0VSQVNF::UE9SVF9VTlVTRUQ=::UE9SVF9CVUxLX0VSQVNF"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9ESUVfRVJBU0U=::UE9SVF9VTlVTRUQ=::UE9SVF9ESUVfRVJBU0U="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9FTjRCX0FERFI=::UE9SVF9VU0VE::UE9SVF9FTjRCX0FERFI="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9FWDRCX0FERFI=::UE9SVF9VTlVTRUQ=::UE9SVF9FWDRCX0FERFI="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9GQVNUX1JFQUQ=::UE9SVF9VU0VE::UE9SVF9GQVNUX1JFQUQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9JTExFR0FMX0VSQVNF::UE9SVF9VU0VE::UE9SVF9JTExFR0FMX0VSQVNF"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9JTExFR0FMX1dSSVRF::UE9SVF9VU0VE::UE9SVF9JTExFR0FMX1dSSVRF"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRElEX09VVA==::UE9SVF9VU0VE::UE9SVF9SRElEX09VVA=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRUFEX0FERFJFU1M=::UE9SVF9VTlVTRUQ=::UE9SVF9SRUFEX0FERFJFU1M="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRUFEX0RVTU1ZQ0xL::UE9SVF9VU0VE::UE9SVF9SRUFEX0RVTU1ZQ0xL"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRUFEX1JESUQ=::UE9SVF9VU0VE::UE9SVF9SRUFEX1JESUQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRUFEX1NJRA==::UE9SVF9VTlVTRUQ=::UE9SVF9SRUFEX1NJRA=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRUFEX1NUQVRVUw==::UE9SVF9VU0VE::UE9SVF9SRUFEX1NUQVRVUw=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TRUNUT1JfRVJBU0U=::UE9SVF9VU0VE::UE9SVF9TRUNUT1JfRVJBU0U="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TRUNUT1JfUFJPVEVDVA==::UE9SVF9VU0VE::UE9SVF9TRUNUT1JfUFJPVEVDVA=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TSElGVF9CWVRFUw==::UE9SVF9VU0VE::UE9SVF9TSElGVF9CWVRFUw=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9XUkVO::UE9SVF9VU0VE::UE9SVF9XUkVO"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9XUklURQ==::UE9SVF9VU0VE::UE9SVF9XUklURQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "V1JJVEVfRFVNTVlfQ0xL::MA==::V1JJVEVfRFVNTVlfQ0xL"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0VBQg==::T04=::VVNFX0VBQg=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FTTUlCTE9DSw==::T0ZG::VVNFX0FTTUlCTE9DSw=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfUlNUUElO::VFJVRQ==::RkxBU0hfUlNUUElO"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NJTQ==::dHJ1ZQ==::RW5hYmxlIHNpbXVsYXRpb24="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZXh0X2ZsYXNoX2FzbWlfcGFyYWxsZWxfaW5zdGFuY2VfbmFtZV9hc21pX3BhcmFsbGVsX2luc3RhbmNlX25hbWU="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QVNNSSBQYXJhbGxlbCBJbnRlbCBGUEdBIElQ"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "VGhlIEFsdGVyYSBBU01JIFBhcmFsbGVsIG1lZ2FmdW5jdGlvbiBwcm92aWRlcyBhY2Nlc3MgdG8gZXJhc2FibGUgIHByb2dyYW1tYWJsZSBjb25maWd1cmFibGUgc2VyaWFsIChFUENTKSBhbmQgcXVhZC1zZXJpYWwgY29uZmlndXJhdGlvbiAgKEVQQ1EpIGRldmljZXMgdGhyb3VnaCBwYXJhbGxlbCBkYXRhIGlucHV0IGFuZCBvdXRwdXQgcG9ydHMu"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::QXJyaWEgVg==::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0JYX0FVVE9fQkxBQ0tCT1g=::QUxM::Q0JYX0FVVE9fQkxBQ0tCT1g="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVBDU19UWVBF::RVBDUUw1MTI=::Q29uZmlndXJhdGlvbiBkZXZpY2UgdHlwZQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3JlYWRfcmRpZA==::dHJ1ZQ==::VXNlICdyZWFkX3JkaWQnIGFuZCByZGlkX291dCcgcG9ydHM="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3JlYWRfc3RhdHVz::dHJ1ZQ==::VXNlICdyZWFkX3N0YXR1cycgcG9ydA=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3JlYWRfYWRkcmVzcw==::ZmFsc2U=::VXNlICdyZWFkX2FkZHJlc3MnIHBvcnQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2Zhc3RfcmVhZA==::dHJ1ZQ==::VXNlICdmYXN0X3JlYWQnIHBvcnQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9XSURUSA==::UVVBRA==::Q2hvb3NlIEkvTyBtb2Rl"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3JlYWRfZHVtbXljbGs=::dHJ1ZQ==::UmVhZCBkZXZpY2UgZHVtbXkgY2xvY2s="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3dyaXRl::dHJ1ZQ==::RW5hYmxlIHdyaXRlIG9wZXJhdGlvbg=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3dyZW4=::dHJ1ZQ==::VXNlICd3cmVuJyBwb3J0"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3NpbmdsZV93cml0ZQ==::ZmFsc2U=::U2luZ2xlIGJ5dGUgd3JpdGU="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3BhZ2Vfd3JpdGU=::dHJ1ZQ==::UGFnZSB3cml0ZQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFHRV9TSVpF::MjU2::J3BhZ2Ugd3JpdGUnIHNpemU="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9lYWI=::ZmFsc2U=::U3RvcmUgJ3BhZ2Ugd3JpdGUnIGRhdGEgaW4gbG9naWMgZWxlbWVudHM="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2RpZV9lcmFzZQ==::ZmFsc2U=::VXNlICdkaWVfZXJhc2UnIHBvcnQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3NlY3Rvcl9lcmFzZQ==::dHJ1ZQ==::VXNlICdzZWN0b3JfZXJhc2UnIHBvcnQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3NlY3Rvcl9wcm90ZWN0::dHJ1ZQ==::VXNlICdzZWN0b3JfcHJvdGVjdCcgcG9ydA=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2V4NGJfYWRkcg==::ZmFsc2U=::VXNlICdleDRiX2FkZHInIHBvcnQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9hc21pYmxvY2s=::dHJ1ZQ==::RGlzYWJsZSBkZWRpY2F0ZWQgQWN0aXZlIFNlcmlhbCBpbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9CVUxLX0VSQVNF::UE9SVF9VTlVTRUQ=::UE9SVF9CVUxLX0VSQVNF"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9ESUVfRVJBU0U=::UE9SVF9VTlVTRUQ=::UE9SVF9ESUVfRVJBU0U="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9FTjRCX0FERFI=::UE9SVF9VU0VE::UE9SVF9FTjRCX0FERFI="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9FWDRCX0FERFI=::UE9SVF9VTlVTRUQ=::UE9SVF9FWDRCX0FERFI="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9GQVNUX1JFQUQ=::UE9SVF9VU0VE::UE9SVF9GQVNUX1JFQUQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9JTExFR0FMX0VSQVNF::UE9SVF9VU0VE::UE9SVF9JTExFR0FMX0VSQVNF"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9JTExFR0FMX1dSSVRF::UE9SVF9VU0VE::UE9SVF9JTExFR0FMX1dSSVRF"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRElEX09VVA==::UE9SVF9VU0VE::UE9SVF9SRElEX09VVA=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRUFEX0FERFJFU1M=::UE9SVF9VTlVTRUQ=::UE9SVF9SRUFEX0FERFJFU1M="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRUFEX0RVTU1ZQ0xL::UE9SVF9VU0VE::UE9SVF9SRUFEX0RVTU1ZQ0xL"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRUFEX1JESUQ=::UE9SVF9VU0VE::UE9SVF9SRUFEX1JESUQ="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRUFEX1NJRA==::UE9SVF9VTlVTRUQ=::UE9SVF9SRUFEX1NJRA=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9SRUFEX1NUQVRVUw==::UE9SVF9VU0VE::UE9SVF9SRUFEX1NUQVRVUw=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TRUNUT1JfRVJBU0U=::UE9SVF9VU0VE::UE9SVF9TRUNUT1JfRVJBU0U="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TRUNUT1JfUFJPVEVDVA==::UE9SVF9VU0VE::UE9SVF9TRUNUT1JfUFJPVEVDVA=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TSElGVF9CWVRFUw==::UE9SVF9VU0VE::UE9SVF9TSElGVF9CWVRFUw=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9XUkVO::UE9SVF9VU0VE::UE9SVF9XUkVO"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9XUklURQ==::UE9SVF9VU0VE::UE9SVF9XUklURQ=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "V1JJVEVfRFVNTVlfQ0xL::MA==::V1JJVEVfRFVNTVlfQ0xL"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0VBQg==::T04=::VVNFX0VBQg=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FTTUlCTE9DSw==::T0ZG::VVNFX0FTTUlCTE9DSw=="
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxBU0hfUlNUUElO::VFJVRQ==::RkxBU0hfUlNUUElO"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NJTQ==::dHJ1ZQ==::RW5hYmxlIHNpbXVsYXRpb24="
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZXh0X2ZsYXNoX3NvZnRfYXNtaWJsb2NrX2luc3RhbmNlX25hbWU="
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "cV9zeXNfZXh0X2ZsYXNoX3NvZnRfYXNtaWJsb2NrX2luc3RhbmNlX25hbWU="
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fTU9ERQ==::UVVBRA==::Q2hvb3NlIEkvTyBtb2Rl"
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NfV0lEVEg=::MQ==::Q1NfV0lEVEg="
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_COMPONENT_NAME "c29mdF9hc21pYmxvY2s="
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFNPRlQgQVNNSUJMT0NL"
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "VGhpcyBjb21wb25lbnQgY29ubmVjdHMgdG8gQWx0ZXJhIEVQQ1EgY29udHJvbGxlciBzbyB0aGF0IHRoZSBRU1BJIHNpZ25hbCBjYW4gYmUgdXNlZCBhcyBnZW5lcmFsIHB1cnBvc2UgcGlu"
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fTU9ERQ==::UVVBRA==::Q2hvb3NlIEkvTyBtb2Rl"
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NfV0lEVEg=::MQ==::Q1NfV0lEVEg="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZXRoX3RzZQ=="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "VHJpcGxlLVNwZWVkIEV0aGVybmV0IEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "VHJpcGxlIFNwZWVkIEV0aGVybmV0IEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::TUFYIDEw::RGV2aWNlIEZhbWlseQ=="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3BhZGRpbmc=::dHJ1ZQ==::ZW5hYmxlX3BhZGRpbmc="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2xndGhfY2hlY2s=::dHJ1ZQ==::ZW5hYmxlX2xndGhfY2hlY2s="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z2JpdF9vbmx5::dHJ1ZQ==::Z2JpdF9vbmx5"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "bWJpdF9vbmx5::dHJ1ZQ==::bWJpdF9vbmx5"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVkdWNlZF9jb250cm9s::ZmFsc2U=::cmVkdWNlZF9jb250cm9s"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y29yZV92ZXJzaW9u::NDg2NQ==::Y29yZV92ZXJzaW9u"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2X3ZlcnNpb24=::NDg2NQ==::ZGV2X3ZlcnNpb24="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZWdfZmlmbw==::MjA0OA==::ZWdfZmlmbw=="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5nX2ZpZm8=::MjA0OA==::aW5nX2ZpZm8="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVkdWNlZF9pbnRlcmZhY2VfZW5h::dHJ1ZQ==::cmVkdWNlZF9pbnRlcmZhY2VfZW5h"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "c3luY2hyb25pemVyX2RlcHRo::Mw==::c3luY2hyb25pemVyX2RlcHRo"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5::TUFYMTA=::ZGV2aWNlRmFtaWx5"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "aXNVc2VNQUM=::dHJ1ZQ==::aXNVc2VNQUM="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "aXNVc2VQQ1M=::ZmFsc2U=::aXNVc2VQQ1M="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2Nsa19zaGFyaW5n::ZmFsc2U=::ZW5hYmxlX2Nsa19zaGFyaW5n"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y29yZV92YXJpYXRpb24=::TUFDX09OTFk=::Q29yZSB2YXJpYXRpb24="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWZHTUlJ::UkdNSUk=::SW50ZXJmYWNl"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "dXNlX21hY19jbGtlbg==::ZmFsc2U=::VXNlIGNsb2NrIGVuYWJsZSBmb3IgTUFD"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3VzZV9pbnRlcm5hbF9maWZv::dHJ1ZQ==::VXNlIGludGVybmFsIEZJRk8="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2VjYw==::ZmFsc2U=::RW5hYmxlIEVDQyBwcm90ZWN0aW9u"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "dXNlX21pc2NfcG9ydHM=::dHJ1ZQ==::VXNlIG1pc2MgcG9ydHM="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hkX2xvZ2lj::dHJ1ZQ==::RW5hYmxlIE1BQyAxMC8xMDAgaGFsZiBkdXBsZXggc3VwcG9ydA=="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2dtaWlfbG9vcGJhY2s=::dHJ1ZQ==::RW5hYmxlIGxvY2FsIGxvb3BiYWNrIG9uIE1JSS9HTUlJL1JHTUlJKg=="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3N1cF9hZGRy::ZmFsc2U=::RW5hYmxlIHN1cHBsZW1lbnRhbCBNQUMgdW5pY2FzdCBhZGRyZXNzZXM="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "c3RhdF9jbnRfZW5h::dHJ1ZQ==::SW5jbHVkZSBzdGF0aXN0aWNzIGNvdW50ZXJz"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZXh0X3N0YXRfY250X2VuYQ==::ZmFsc2U=::RW5hYmxlIDY0LWJpdCBzdGF0aXN0aWNzIGJ5dGUgY291bnRlcnM="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hX2hhc2g=::ZmFsc2U=::SW5jbHVkZSBtdWx0aWNhc3QgaGFzaHRhYmxl"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX3NoaWZ0MTY=::dHJ1ZQ==::QWxpZ24gcGFja2V0IGhlYWRlcnMgdG8gMzItYml0IGJvdW5kYXJ5"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21hY19mbG93X2N0cmw=::ZmFsc2U=::RW5hYmxlIGZ1bGwtZHVwbGV4IGZsb3cgY29udHJvbA=="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21hY192bGFu::ZmFsc2U=::RW5hYmxlIFZMQU4gZGV0ZWN0aW9u"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21hZ2ljX2RldGVjdA==::dHJ1ZQ==::RW5hYmxlIG1hZ2ljIHBhY2tldCBkZXRlY3Rpb24="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "dXNlTURJTw==::dHJ1ZQ==::SW5jbHVkZSBNRElPIG1vZHVsZSAoTURDL01ESU8p"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "bWRpb19jbGtfZGl2::NDA=::SG9zdCBjbG9jayBkaXZpc29y"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2VuYQ==::MzI=::V2lkdGg="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZWdfYWRkcg==::MTE=::VHJhbnNtaXQ="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5nX2FkZHI=::MTE=::UmVjZWl2ZQ=="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Ng==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX2dwaW9fbGl0ZQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "R1BJTyBMaXRlIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "R1BJTyBMaXRlIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::RGV2aWNlIGZhbWlseQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElOX1RZUEU=::aW5wdXQ=::RGF0YSBkaXJlY3Rpb24="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0laRQ==::NA==::RGF0YSB3aWR0aA=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3RydWVfZGlmZl9idWY=::ZmFsc2U=::VXNlIHRydWUgZGlmZmVyZW50aWFsIGJ1ZmZlcg=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2J1c19ob2xk::ZmFsc2U=::VXNlIGJ1cy1ob2xkIGNpcmN1aXRyeQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9vZV9wb3J0::ZmFsc2U=::RW5hYmxlIG9lIHBvcnQ="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9uc2xlZXBfcG9ydA==::ZmFsc2U=::RW5hYmxlIG5zbGVlcCBwb3J0IChvbmx5IGF2YWlsYWJsZSBpbiBzZWxlY3RlZCBkZXZpY2VzKQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2lvX3JlZ19tb2Rl::ZGRy::UmVnaXN0ZXIgbW9kZQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9hY2xyX3BvcnQ=::ZmFsc2U=::RW5hYmxlIGFjbHIgcG9ydA=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9zY2xyX3BvcnQ=::ZmFsc2U=::RW5hYmxlIHNjbHIgcG9ydA=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3NldF9yZWdpc3RlcnNfdG9fcG93ZXJfdXBfaGlnaA==::ZmFsc2U=::U2V0IHJlZ2lzdGVycyB0byBwb3dlciB1cCBoaWdoICh3aGVuIGFjbHIsIHNjbHIgYW5kIGFzZXQgcG9ydHMgYXJlIG5vdCB1c2VkKQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2Nsb2NrX2VuYWJsZQ==::ZmFsc2U=::RW5hYmxlIGluY2xvY2tlbi9vdXRjbG9ja2VuIHBvcnRz"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2ludmVydF9pbnB1dF9jbG9jaw==::ZmFsc2U=::SW52ZXJ0IERESU8gaW5jbG9jaw=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9yZWdpc3Rlcl90b19kcml2ZV9vYnVmX29l::ZmFsc2U=::VXNlIGEgc2luZ2xlIHJlZ2lzdGVyIHRvIGRyaXZlIHRoZSBvdXRwdXQgZW5hYmxlIChvZSkgc2lnbmFsIGF0IHRoZSBJL08gYnVmZmVy"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9kZGlvX3JlZ190b19kcml2ZV9vZQ==::ZmFsc2U=::VXNlIERESU8gcmVnaXN0ZXJzIHRvIGRyaXZlIHRoZSBvdXRwdXQgZW5hYmxlIChvZSkgc2lnbmFsIGF0IHRoZSBJL08gYnVmZmVy"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9hZHZhbmNlZF9kZHJfZmVhdHVyZXM=::ZmFsc2U=::RW5hYmxlIGFkdmFuY2VkIEREUiBmZWF0dXJlcw=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9waGFzZV9kZXRlY3Rvcl9mb3JfY2s=::ZmFsc2U=::RW5hYmxlIFBoYXNlIERldGVjdG9yIGZyb20gQ0sgbG9vcGJhY2sgc2lnbmFs"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9vZV9oYWxmX2N5Y2xlX2RlbGF5::dHJ1ZQ==::QWRkIGhhbGYtY3ljbGUgZGVsYXkgdG8gT0Ugc2lnbmFs"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9ocl9jbG9jaw==::ZmFsc2U=::RW5hYmxlIGhhbGYtcmF0ZSBjbG9jayBwb3J0"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9pbnZlcnRfaHJfY2xvY2tfcG9ydA==::ZmFsc2U=::RW5hYmxlIGludmVydF9ocl9jbG9jayBwb3J0"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2ludmVydF9jbGtkaXZfaW5wdXRfY2xvY2s=::ZmFsc2U=::SW52ZXJ0IGNsb2NrIGRpdmlkZXIgaW5wdXQgY2xvY2s="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2ludmVydF9vdXRwdXRfY2xvY2s=::ZmFsc2U=::SW52ZXJ0IERESU8gb3V0Y2xvY2s="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX2ludmVydF9vZV9pbmNsb2Nr::ZmFsc2U=::SW52ZXJ0IG91dHB1dCBlbmFibGUgKG9lKSByZWdpc3RlciBpbmNsb2Nr"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9oYXJkZW5lZF9kZGlvX2lucHV0X3JlZ2lzdGVycw==::ZmFsc2U=::SW1wbGVtZW50IERESU8gaW5wdXQgcmVnaXN0ZXJzIGluIGhhcmQgaW1wbGVtZW50YXRpb24gKE9ubHkgYXZhaWxhYmxlIGluIGNlcnRhaW4gZGV2aWNlcyk="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfTU9ERQ==::ZGRy::UkVHSVNURVJfTU9ERQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVGRkVSX1RZUEU=::c2luZ2xlLWVuZGVk::QlVGRkVSX1RZUEU="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVNZTkNfTU9ERQ==::bm9uZQ==::QVNZTkNfTU9ERQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lOQ19NT0RF::bm9uZQ==::U1lOQ19NT0RF"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "QlVTX0hPTEQ=::ZmFsc2U=::QlVTX0hPTEQ="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1BFTl9EUkFJTl9PVVRQVVQ=::ZmFsc2U=::T1BFTl9EUkFJTl9PVVRQVVQ="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX09FX1BPUlQ=::ZmFsc2U=::RU5BQkxFX09FX1BPUlQ="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05TTEVFUF9QT1JU::ZmFsc2U=::RU5BQkxFX05TTEVFUF9QT1JU"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NMT0NLX0VOQV9QT1JU::ZmFsc2U=::RU5BQkxFX0NMT0NLX0VOQV9QT1JU"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VUX1JFR0lTVEVSX09VVFBVVFNfSElHSA==::ZmFsc2U=::U0VUX1JFR0lTVEVSX09VVFBVVFNfSElHSA=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5WRVJUX09VVFBVVA==::ZmFsc2U=::SU5WRVJUX09VVFBVVA=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5WRVJUX0lOUFVUX0NMT0NL::ZmFsc2U=::SU5WRVJUX0lOUFVUX0NMT0NL"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX09ORV9SRUdfVE9fRFJJVkVfT0U=::ZmFsc2U=::VVNFX09ORV9SRUdfVE9fRFJJVkVfT0U="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RESU9fUkVHX1RPX0RSSVZFX09F::ZmFsc2U=::VVNFX0RESU9fUkVHX1RPX0RSSVZFX09F"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FEVkFOQ0VEX0REUl9GRUFUVVJFUw==::ZmFsc2U=::VVNFX0FEVkFOQ0VEX0REUl9GRUFUVVJFUw=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FEVkFOQ0VEX0REUl9GRUFUVVJFU19GT1JfSU5QVVRfT05MWQ==::ZmFsc2U=::VVNFX0FEVkFOQ0VEX0REUl9GRUFUVVJFU19GT1JfSU5QVVRfT05MWQ=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX09FX0hBTEZfQ1lDTEVfREVMQVk=::dHJ1ZQ==::RU5BQkxFX09FX0hBTEZfQ1lDTEVfREVMQVk="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5WRVJUX0NMS0RJVl9JTlBVVF9DTE9DSw==::ZmFsc2U=::SU5WRVJUX0NMS0RJVl9JTlBVVF9DTE9DSw=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1BIQVNFX0lOVkVSVF9DVFJMX1BPUlQ=::ZmFsc2U=::RU5BQkxFX1BIQVNFX0lOVkVSVF9DVFJMX1BPUlQ="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0hSX0NMT0NL::ZmFsc2U=::RU5BQkxFX0hSX0NMT0NL"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5WRVJUX09VVFBVVF9DTE9DSw==::ZmFsc2U=::SU5WRVJUX09VVFBVVF9DTE9DSw=="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5WRVJUX09FX0lOQ0xPQ0s=::ZmFsc2U=::SU5WRVJUX09FX0lOQ0xPQ0s="
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1BIQVNFX0RFVEVDVE9SX0ZPUl9DSw==::ZmFsc2U=::RU5BQkxFX1BIQVNFX0RFVEVDVE9SX0ZPUl9DSw=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_NAME "YWx0ZXJhX2V0aF90c2VfbWFj"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "YWx0ZXJhX2V0aF90c2VfbWFj"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5HX0FERFI=::MTE=::SU5HX0FERFI="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19SWF9WTEFO::ZmFsc2U=::RU5BQkxFX01BQ19SWF9WTEFO"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NVUF9BRERS::ZmFsc2U=::RU5BQkxFX1NVUF9BRERS"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYMTA=::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5TRVJUX1RB::ZmFsc2U=::SU5TRVJUX1RB"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5HX0ZJRk8=::MjA0OA==::SU5HX0ZJRk8="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VDQw==::ZmFsc2U=::RU5BQkxFX0VDQw=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1JDMzJEV0lEVEg=::OA==::Q1JDMzJEV0lEVEg="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VOQQ==::MzI=::RU5BQkxFX0VOQQ=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lOQ0hST05JWkVSX0RFUFRI::Mw==::U1lOQ0hST05JWkVSX0RFUFRI"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19UWF9WTEFO::ZmFsc2U=::RU5BQkxFX01BQ19UWF9WTEFO"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVTRVRfTEVWRUw=::MQ==::UkVTRVRfTEVWRUw="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBVF9DTlRfRU5B::dHJ1ZQ==::U1RBVF9DTlRfRU5B"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1VTVF9WRVJTSU9O::MA==::Q1VTVF9WRVJTSU9O"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1JDMzJTMUwyX0VYVEVSTg==::ZmFsc2U=::Q1JDMzJTMUwyX0VYVEVSTg=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUJJVF9PTkxZ::dHJ1ZQ==::TUJJVF9PTkxZ"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RUdfQUREUg==::MTE=::RUdfQUREUg=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09SRV9WRVJTSU9O::NDg2NQ==::Q09SRV9WRVJTSU9O"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1JDMzJHRU5ERUxBWQ==::Ng==::Q1JDMzJHRU5ERUxBWQ=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RUdfRklGTw==::MjA0OA==::RUdfRklGTw=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVEVUNFRF9JTlRFUkZBQ0VfRU5B::dHJ1ZQ==::UkVEVUNFRF9JTlRFUkZBQ0VfRU5B"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BR0lDX0RFVEVDVA==::dHJ1ZQ==::RU5BQkxFX01BR0lDX0RFVEVDVA=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01ESU8=::dHJ1ZQ==::RU5BQkxFX01ESU8="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19UWEFERFJfU0VU::dHJ1ZQ==::RU5BQkxFX01BQ19UWEFERFJfU0VU"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFNX1RZUEU=::QVVUTw==::UkFNX1RZUEU="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1JDMzJDSEVDSzE2QklU::MA==::Q1JDMzJDSEVDSzE2QklU"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xHVEhfQ0hFQ0s=::dHJ1ZQ==::RU5BQkxFX0xHVEhfQ0hFQ0s="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BQ19GTE9XX0NUUkw=::ZmFsc2U=::RU5BQkxFX01BQ19GTE9XX0NUUkw="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NISUZUMTY=::dHJ1ZQ==::RU5BQkxFX1NISUZUMTY="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NZTkNfUkVTRVQ=::dHJ1ZQ==::VVNFX1NZTkNfUkVTRVQ="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVEVUNFRF9DT05UUk9M::ZmFsc2U=::UkVEVUNFRF9DT05UUk9M"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "TURJT19DTEtfRElW::NDA=::TURJT19DTEtfRElW"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1BBRERJTkc=::dHJ1ZQ==::RU5BQkxFX1BBRERJTkc="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0dNSUlfTE9PUEJBQ0s=::dHJ1ZQ==::RU5BQkxFX0dNSUlfTE9PUEJBQ0s="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "R0JJVF9PTkxZ::dHJ1ZQ==::R0JJVF9PTkxZ"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BX0hBU0g=::ZmFsc2U=::RU5BX0hBU0g="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVEVOREVEX1NUQVRfUkVH::ZmFsc2U=::RU5BQkxFX0VYVEVOREVEX1NUQVRfUkVH"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0hEX0xPR0lD::dHJ1ZQ==::RU5BQkxFX0hEX0xPR0lD"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWZHTUlJ::UkdNSUk=::SW50ZXJmYWNl"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "dXNlX21pc2NfcG9ydHM=::dHJ1ZQ==::RW5hYmxlIG1pc2MgcG9ydHM="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "dXNlX21hY19jbGtlbg==::ZmFsc2U=::RW5hYmxlIGNsb2NrIGVuYWJsZSBwb3J0cw=="
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y29ubmVjdF90b19wY3M=::ZmFsc2U=::Q29ubmVjdCB0aGlzIG1hYyBpbnN0YW5jZSB0byBwY3M="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZW5ldF9wbGw="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QUxUUExMIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QXZhbG9uLWNvbXBhdGlibGUgSW50ZWwgUExMIG1vZHVsZS4gRm9yIFN0cmF0aXggViBhbmQgbmV3ZXIgZmFtaWxpZXMsIHVzZSBJbnRlbCBGUEdBIFBMTCBJUA=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0NVU1RPTV9FTEFCT1JBVElPTg==::YWx0cGxsX2F2YWxvbl9lbGFib3JhdGlvbg==::SElEREVOX0NVU1RPTV9FTEFCT1JBVElPTg=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0NVU1RPTV9QT1NUX0VESVQ=::YWx0cGxsX2F2YWxvbl9wb3N0X2VkaXQ=::SElEREVOX0NVU1RPTV9QT1NUX0VESVQ="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5URU5ERURfREVWSUNFX0ZBTUlMWQ==::TUFYIDEw::SU5URU5ERURfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0lEVEhfQ0xPQ0s=::NQ==::V0lEVEhfQ0xPQ0s="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DTEswX0lOUFVUX0ZSRVFVRU5DWQ==::MjAwMDA=::SU5DTEswX0lOUFVUX0ZSRVFVRU5DWQ=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1BFUkFUSU9OX01PREU=::Tk9fQ09NUEVOU0FUSU9O::T1BFUkFUSU9OX01PREU="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1RZUEU=::QVVUTw==::UExMX1RZUEU="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09NUEVOU0FURV9DTE9DSw==::Q0xLMA==::Q09NUEVOU0FURV9DTE9DSw=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "QkFORFdJRFRIX1RZUEU=::QVVUTw==::QkFORFdJRFRIX1RZUEU="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMF9NVUxUSVBMWV9CWQ==::NQ==::Q0xLMF9NVUxUSVBMWV9CWQ=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMV9NVUxUSVBMWV9CWQ==::MQ==::Q0xLMV9NVUxUSVBMWV9CWQ=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMl9NVUxUSVBMWV9CWQ==::MQ==::Q0xLMl9NVUxUSVBMWV9CWQ=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLM19NVUxUSVBMWV9CWQ==::NQ==::Q0xLM19NVUxUSVBMWV9CWQ=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLNF9NVUxUSVBMWV9CWQ==::MQ==::Q0xLNF9NVUxUSVBMWV9CWQ=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMF9ESVZJREVfQlk=::Mg==::Q0xLMF9ESVZJREVfQlk="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMV9ESVZJREVfQlk=::Mg==::Q0xLMV9ESVZJREVfQlk="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMl9ESVZJREVfQlk=::MjA=::Q0xLMl9ESVZJREVfQlk="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLM19ESVZJREVfQlk=::Mg==::Q0xLM19ESVZJREVfQlk="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLNF9ESVZJREVfQlk=::Mg==::Q0xLNF9ESVZJREVfQlk="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMF9QSEFTRV9TSElGVA==::MA==::Q0xLMF9QSEFTRV9TSElGVA=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMV9QSEFTRV9TSElGVA==::MA==::Q0xLMV9QSEFTRV9TSElGVA=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMl9QSEFTRV9TSElGVA==::MA==::Q0xLMl9QSEFTRV9TSElGVA=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLM19QSEFTRV9TSElGVA==::LTMwMDA=::Q0xLM19QSEFTRV9TSElGVA=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLNF9QSEFTRV9TSElGVA==::LTEwMDAw::Q0xLNF9QSEFTRV9TSElGVA=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMF9EVVRZX0NZQ0xF::NTA=::Q0xLMF9EVVRZX0NZQ0xF"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMV9EVVRZX0NZQ0xF::NTA=::Q0xLMV9EVVRZX0NZQ0xF"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMl9EVVRZX0NZQ0xF::NTA=::Q0xLMl9EVVRZX0NZQ0xF"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLM19EVVRZX0NZQ0xF::NTA=::Q0xLM19EVVRZX0NZQ0xF"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLNF9EVVRZX0NZQ0xF::NTA=::Q0xLNF9EVVRZX0NZQ0xF"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmEw::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmEw"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmEx::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmEx"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmEy::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmEy"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmEz::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmEz"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmE0::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmE0"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmE1::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmE1"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9leHRjbGsw::UE9SVF9VTlVTRUQ=::UE9SVF9leHRjbGsw"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9leHRjbGsx::UE9SVF9VTlVTRUQ=::UE9SVF9leHRjbGsx"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9leHRjbGsy::UE9SVF9VTlVTRUQ=::UE9SVF9leHRjbGsy"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9leHRjbGsz::UE9SVF9VTlVTRUQ=::UE9SVF9leHRjbGsz"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9DTEtCQUQw::UE9SVF9VTlVTRUQ=::UE9SVF9DTEtCQUQw"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9DTEtCQUQx::UE9SVF9VTlVTRUQ=::UE9SVF9DTEtCQUQx"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGsw::UE9SVF9VU0VE::UE9SVF9jbGsw"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGsx::UE9SVF9VU0VE::UE9SVF9jbGsx"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGsy::UE9SVF9VU0VE::UE9SVF9jbGsy"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGsz::UE9SVF9VU0VE::UE9SVF9jbGsz"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGs0::UE9SVF9VU0VE::UE9SVF9jbGs0"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGs1::UE9SVF9VTlVTRUQ=::UE9SVF9jbGs1"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOREFUQQ==::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOREFUQQ=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOREFUQU9VVA==::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOREFUQU9VVA=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FORE9ORQ==::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FORE9ORQ=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9BQ1RJVkVDTE9DSw==::UE9SVF9VTlVTRUQ=::UE9SVF9BQ1RJVkVDTE9DSw=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9DTEtMT1NT::UE9SVF9VTlVTRUQ=::UE9SVF9DTEtMT1NT"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9JTkNMSzE=::UE9SVF9VTlVTRUQ=::UE9SVF9JTkNMSzE="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9JTkNMSzA=::UE9SVF9VU0VE::UE9SVF9JTkNMSzA="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9GQklO::UE9SVF9VTlVTRUQ=::UE9SVF9GQklO"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QTExFTkE=::UE9SVF9VTlVTRUQ=::UE9SVF9QTExFTkE="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9DTEtTV0lUQ0g=::UE9SVF9VTlVTRUQ=::UE9SVF9DTEtTV0lUQ0g="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9BUkVTRVQ=::UE9SVF9VTlVTRUQ=::UE9SVF9BUkVTRVQ="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QRkRFTkE=::UE9SVF9VTlVTRUQ=::UE9SVF9QRkRFTkE="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOQ0xL::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOQ0xL"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOQUNMUg==::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOQUNMUg=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOUkVBRA==::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOUkVBRA=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOV1JJVEU=::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOV1JJVEU="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9MT0NLRUQ=::UE9SVF9VU0VE::UE9SVF9MT0NLRUQ="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9DT05GSUdVUERBVEU=::UE9SVF9VTlVTRUQ=::UE9SVF9DT05GSUdVUERBVEU="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QSEFTRURPTkU=::UE9SVF9VTlVTRUQ=::UE9SVF9QSEFTRURPTkU="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QSEFTRVNURVA=::UE9SVF9VTlVTRUQ=::UE9SVF9QSEFTRVNURVA="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QSEFTRVVQRE9XTg==::UE9SVF9VTlVTRUQ=::UE9SVF9QSEFTRVVQRE9XTg=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOQ0xLRU5B::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOQ0xLRU5B"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QSEFTRUNPVU5URVJTRUxFQ1Q=::UE9SVF9VTlVTRUQ=::UE9SVF9QSEFTRUNPVU5URVJTRUxFQ1Q="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZBTE9OX1VTRV9TRVBBUkFURV9TWVNDTEs=::Tk8=::QVZBTE9OX1VTRV9TRVBBUkFURV9TWVNDTEs="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0NPTlNUQU5UUw==::Q1QjQ0xLMl9ESVZJREVfQlkgMjAgQ1QjUE9SVF9jbGs1IFBPUlRfVU5VU0VEIENUI1BPUlRfY2xrNCBQT1JUX1VTRUQgQ1QjUE9SVF9jbGszIFBPUlRfVVNFRCBDVCNQT1JUX2NsazIgUE9SVF9VU0VEIENUI1BPUlRfY2xrMSBQT1JUX1VTRUQgQ1QjUE9SVF9jbGswIFBPUlRfVVNFRCBDVCNDTEswX01VTFRJUExZX0JZIDUgQ1QjUE9SVF9TQ0FOV1JJVEUgUE9SVF9VTlVTRUQgQ1QjUE9SVF9TQ0FOQUNMUiBQT1JUX1VOVVNFRCBDVCNQT1JUX1BGREVOQSBQT1JUX1VOVVNFRCBDVCNDTEszX0RVVFlfQ1lDTEUgNTAgQ1QjQ0xLM19ESVZJREVfQlkgMiBDVCNQT1JUX1BMTEVOQSBQT1JUX1VOVVNFRCBDVCNQT1JUX1NDQU5EQVRBIFBPUlRfVU5VU0VEIENUI0NMSzNfUEhBU0VfU0hJRlQgLTMwMDAgQ1QjUE9SVF9TQ0FOQ0xLRU5BIFBPUlRfVU5VU0VEIENUI0NMSzRfRElWSURFX0JZIDIgQ1QjV0lEVEhfQ0xPQ0sgNSBDVCNQT1JUX1NDQU5EQVRBT1VUIFBPUlRfVU5VU0VEIENUI0NMSzRfTVVMVElQTFlfQlkgMSBDVCNMUE1fVFlQRSBhbHRwbGwgQ1QjUExMX1RZUEUgQVVUTyBDVCNDTEswX1BIQVNFX1NISUZUIDAgQ1QjQ0xLMV9EVVRZX0NZQ0xFIDUwIENUI1BPUlRfUEhBU0VET05FIFBPUlRfVU5VU0VEIENUI09QRVJBVElPTl9NT0RFIE5PX0NPTVBFTlNBVElPTiBDVCNQT1JUX0NPTkZJR1VQREFURSBQT1JUX1VOVVNFRCBDVCNDTEsxX01VTFRJUExZX0JZIDEgQ1QjQ09NUEVOU0FURV9DTE9DSyBDTEswIENUI1BPUlRfQ0xLU1dJVENIIFBPUlRfVU5VU0VEIENUI0NMSzRfUEhBU0VfU0hJRlQgLTEwMDAwIENUI0lOQ0xLMF9JTlBVVF9GUkVRVUVOQ1kgMjAwMDAgQ1QjQ0xLNF9EVVRZX0NZQ0xFIDUwIENUI1BPUlRfU0NBTkRPTkUgUE9SVF9VTlVTRUQgQ1QjUE9SVF9DTEtMT1NTIFBPUlRfVU5VU0VEIENUI1BPUlRfSU5DTEsxIFBPUlRfVU5VU0VEIENUI0FWQUxPTl9VU0VfU0VQQVJBVEVfU1lTQ0xLIE5PIENUI1BPUlRfSU5DTEswIFBPUlRfVVNFRCBDVCNQT1JUX2Nsa2VuYTUgUE9SVF9VTlVTRUQgQ1QjUE9SVF9jbGtlbmE0IFBPUlRfVU5VU0VEIENUI1BPUlRfY2xrZW5hMyBQT1JUX1VOVVNFRCBDVCNQT1JUX2Nsa2VuYTIgUE9SVF9VTlVTRUQgQ1QjUE9SVF9jbGtlbmExIFBPUlRfVU5VU0VEIENUI1BPUlRfY2xrZW5hMCBQT1JUX1VOVVNFRCBDVCNDTEsxX1BIQVNFX1NISUZUIDAgQ1QjUE9SVF9BUkVTRVQgUE9SVF9VTlVTRUQgQ1QjQkFORFdJRFRIX1RZUEUgQVVUTyBDVCNDTEsyX01VTFRJUExZX0JZIDEgQ1QjSU5URU5ERURfREVWSUNFX0ZBTUlMWSB7TUFYIDEwfSBDVCNQT1JUX1NDQU5SRUFEIFBPUlRfVU5VU0VEIENUI0NMSzJfRFVUWV9DWUNMRSA1MCBDVCNQT1JUX1BIQVNFU1RFUCBQT1JUX1VOVVNFRCBDVCNQT1JUX1NDQU5DTEsgUE9SVF9VTlVTRUQgQ1QjUE9SVF9DTEtCQUQxIFBPUlRfVU5VU0VEIENUI1BPUlRfQ0xLQkFEMCBQT1JUX1VOVVNFRCBDVCNQT1JUX0ZCSU4gUE9SVF9VTlVTRUQgQ1QjUE9SVF9QSEFTRVVQRE9XTiBQT1JUX1VOVVNFRCBDVCNQT1JUX2V4dGNsazMgUE9SVF9VTlVTRUQgQ1QjUE9SVF9leHRjbGsyIFBPUlRfVU5VU0VEIENUI1BPUlRfZXh0Y2xrMSBQT1JUX1VOVVNFRCBDVCNQT1JUX1BIQVNFQ09VTlRFUlNFTEVDVCBQT1JUX1VOVVNFRCBDVCNQT1JUX2V4dGNsazAgUE9SVF9VTlVTRUQgQ1QjUE9SVF9BQ1RJVkVDTE9DSyBQT1JUX1VOVVNFRCBDVCNDTEsyX1BIQVNFX1NISUZUIDAgQ1QjQ0xLMF9EVVRZX0NZQ0xFIDUwIENUI0NMSzBfRElWSURFX0JZIDIgQ1QjQ0xLMV9ESVZJREVfQlkgMiBDVCNDTEszX01VTFRJUExZX0JZIDUgQ1QjUE9SVF9MT0NLRUQgUE9SVF9VU0VE::SElEREVOX0NPTlNUQU5UUw=="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX1BSSVZBVEVT::UFQjR0xPQ0tFRF9GRUFUVVJFX0VOQUJMRUQgMCBQVCNTUFJFQURfRkVBVFVSRV9FTkFCTEVEIDAgUFQjQkFORFdJRFRIX0ZSRVFfVU5JVCBNSHogUFQjQ1VSX0RFRElDQVRFRF9DTEsgYzAgUFQjSU5DTEswX0ZSRVFfRURJVCA1MC4wMDAgUFQjQkFORFdJRFRIX1BSRVNFVCBMb3cgUFQjUExMX0xWRFNfUExMX0NIRUNLIDAgUFQjQkFORFdJRFRIX1VTRV9QUkVTRVQgMCBQVCNBVkFMT05fVVNFX1NFUEFSQVRFX1NZU0NMSyBOTyBQVCNPVVRQVVRfRlJFUV9VTklUNCBNSHogUFQjT1VUUFVUX0ZSRVFfVU5JVDMgTUh6IFBUI1BMTF9FTkhQTExfQ0hFQ0sgMCBQVCNPVVRQVVRfRlJFUV9VTklUMiBNSHogUFQjT1VUUFVUX0ZSRVFfVU5JVDEgTUh6IFBUI09VVFBVVF9GUkVRX1VOSVQwIE1IeiBQVCNQSEFTRV9SRUNPTkZJR19GRUFUVVJFX0VOQUJMRUQgMSBQVCNDUkVBVEVfQ0xLQkFEX0NIRUNLIDAgUFQjQ0xLU1dJVENIX0NIRUNLIDAgUFQjSU5DTEsxX0ZSRVFfRURJVCAxMDAuMDAwIFBUI05PUk1BTF9NT0RFX1JBRElPIDAgUFQjU1JDX1NZTkNIX0NPTVBfUkFESU8gMCBQVCNQTExfQVJFU0VUX0NIRUNLIDAgUFQjTE9OR19TQ0FOX1JBRElPIDEgUFQjU0NBTl9GRUFUVVJFX0VOQUJMRUQgMSBQVCNVU0VfQ0xLNCAxIFBUI1VTRV9DTEszIDEgUFQjVVNFX0NMSzIgMSBQVCNQSEFTRV9SRUNPTkZJR19JTlBVVFNfQ0hFQ0sgMCBQVCNVU0VfQ0xLMSAxIFBUI1VTRV9DTEswIDEgUFQjUFJJTUFSWV9DTEtfQ09NQk8gaW5jbGswIFBUI0JBTkRXSURUSCAxLjAwMCBQVCNHTE9DS0VEX0NPVU5URVJfRURJVF9DSEFOR0VEIDEgUFQjUExMX0ZBU1RQTExfQ0hFQ0sgMCBQVCNTUFJFQURfRlJFUV9VTklUIEtIeiBQVCNMVkRTX1BIQVNFX1NISUZUX1VOSVQ0IGRlZyBQVCNMVkRTX1BIQVNFX1NISUZUX1VOSVQzIGRlZyBQVCNQTExfQVVUT1BMTF9DSEVDSyAxIFBUI09VVFBVVF9GUkVRX01PREU0IDAgUFQjTFZEU19QSEFTRV9TSElGVF9VTklUMiBkZWcgUFQjT1VUUFVUX0ZSRVFfTU9ERTMgMCBQVCNMVkRTX1BIQVNFX1NISUZUX1VOSVQxIGRlZyBQVCNPVVRQVVRfRlJFUV9NT0RFMiAxIFBUI0xWRFNfUEhBU0VfU0hJRlRfVU5JVDAgZGVnIFBUI09VVFBVVF9GUkVRX01PREUxIDEgUFQjU1dJVENIT1ZFUl9GRUFUVVJFX0VOQUJMRUQgMCBQVCNNSUdfREVWSUNFX1NQRUVEX0dSQURFIEFueSBQVCNPVVRQVVRfRlJFUV9NT0RFMCAxIFBUI0JBTkRXSURUSF9GRUFUVVJFX0VOQUJMRUQgMSBQVCNJTkNMSzBfRlJFUV9VTklUX0NPTUJPIE1IeiBQVCNaRVJPX0RFTEFZX1JBRElPIDAgUFQjT1VUUFVUX0ZSRVE0IDEwMC4wMDAwMDAwMCBQVCNPVVRQVVRfRlJFUTMgMTAwLjAwMDAwMDAwIFBUI09VVFBVVF9GUkVRMiAyLjUwMDAwMDAwIFBUI09VVFBVVF9GUkVRMSAyNS4wMDAwMDAwMCBQVCNPVVRQVVRfRlJFUTAgMTI1LjAwMDAwMDAwIFBUI1NIT1JUX1NDQU5fUkFESU8gMCBQVCNMVkRTX01PREVfREFUQV9SQVRFX0RJUlRZIDAgUFQjQ1VSX0ZCSU5fQ0xLIGMwIFBUI1BMTF9BRFZBTkNFRF9QQVJBTV9DSEVDSyAwIFBUI0NMS0JBRF9TV0lUQ0hPVkVSX0NIRUNLIDAgUFQjUEhBU0VfU0hJRlRfU1RFUF9FTkFCTEVEX0NIRUNLIDAgUFQjREVWSUNFX1NQRUVEX0dSQURFIEFueSBQVCNQTExfRkJNSU1JQ19DSEVDSyAwIFBUI0xWRFNfTU9ERV9EQVRBX1JBVEUge05vdCBBdmFpbGFibGV9IFBUI1BIQVNFX1NISUZUNCAtOTAuMDAwMDAwMDAgUFQjTE9DS0VEX09VVFBVVF9DSEVDSyAxIFBUI1NQUkVBRF9QRVJDRU5UIDAuNTAwIFBUI1BIQVNFX1NISUZUMyAtMTM1LjAwMDAwMDAwIFBUI0RJVl9GQUNUT1I0IDIgUFQjUEhBU0VfU0hJRlQyIDAuMDAwMDAwMDAgUFQjRElWX0ZBQ1RPUjMgMiBQVCNESVZfRkFDVE9SMiAxIFBUI1BIQVNFX1NISUZUMSAwLjAwMDAwMDAwIFBUI0RJVl9GQUNUT1IxIDEgUFQjUEhBU0VfU0hJRlQwIDAuMDAwMDAwMDAgUFQjRElWX0ZBQ1RPUjAgMSBQVCNDTlhfTk9fQ09NUEVOU0FURV9SQURJTyAxIFBUI1VTRV9DTEtFTkE0IDAgUFQjVVNFX0NMS0VOQTMgMCBQVCNVU0VfQ0xLRU5BMiAwIFBUI1VTRV9DTEtFTkExIDAgUFQjVVNFX0NMS0VOQTAgMCBQVCNDUkVBVEVfSU5DTEsxX0NIRUNLIDAgUFQjR0xPQ0tfQ09VTlRFUl9FRElUIDEwNDg1NzUgUFQjSU5DTEsxX0ZSRVFfVU5JVF9DT01CTyBNSHogUFQjRUZGX09VVFBVVF9GUkVRX1ZBTFVFNCAyNS4wMDAwMDAgUFQjRUZGX09VVFBVVF9GUkVRX1ZBTFVFMyAxMjUuMDAwMDAwIFBUI0VGRl9PVVRQVVRfRlJFUV9WQUxVRTIgMi41MDAwMDAgUFQjRUZGX09VVFBVVF9GUkVRX1ZBTFVFMSAyNS4wMDAwMDAgUFQjRUZGX09VVFBVVF9GUkVRX1ZBTFVFMCAxMjUuMDAwMDAwIFBUI1NQUkVBRF9GUkVRIDUwLjAwMCBQVCNVU0VfTUlMX1NQRUVEX0dSQURFIDAgUFQjRVhQTElDSVRfU1dJVENIT1ZFUl9DT1VOVEVSIDAgUFQjU1RJQ0tZX0NMSzQgMSBQVCNTVElDS1lfQ0xLMyAxIFBUI1NUSUNLWV9DTEsyIDEgUFQjU1RJQ0tZX0NMSzEgMSBQVCNTVElDS1lfQ0xLMCAxIFBUI01JUlJPUl9DTEs0IDAgUFQjRVhUX0ZFRURCQUNLX1JBRElPIDAgUFQjTUlSUk9SX0NMSzMgMCBQVCNNSVJST1JfQ0xLMiAwIFBUI01JUlJPUl9DTEsxIDAgUFQjU1dJVENIT1ZFUl9DT1VOVF9FRElUIDEgUFQjTUlSUk9SX0NMSzAgMCBQVCNTRUxGX1JFU0VUX0xPQ0tfTE9TUyAwIFBUI1BMTF9QRkRFTkFfQ0hFQ0sgMCBQVCNJTlRfRkVFREJBQ0tfX01PREVfUkFESU8gMSBQVCNJTkNMSzFfRlJFUV9FRElUX0NIQU5HRUQgMSBQVCNTWU5USF9XUkFQUEVSX0dFTl9QT1NURklYIDAgUFQjQ0xLTE9TU19DSEVDSyAwIFBUI1BIQVNFX1NISUZUX1VOSVQ0IGRlZyBQVCNQSEFTRV9TSElGVF9VTklUMyBkZWcgUFQjUEhBU0VfU0hJRlRfVU5JVDIgZGVnIFBUI1BIQVNFX1NISUZUX1VOSVQxIGRlZyBQVCNQSEFTRV9TSElGVF9VTklUMCBkZWcgUFQjQkFORFdJRFRIX1VTRV9BVVRPIDEgUFQjSEFTX01BTlVBTF9TV0lUQ0hPVkVSIDEgUFQjTVVMVF9GQUNUT1I0IDEgUFQjTVVMVF9GQUNUT1IzIDUgUFQjTVVMVF9GQUNUT1IyIDEgUFQjTVVMVF9GQUNUT1IxIDEgUFQjTVVMVF9GQUNUT1IwIDEgUFQjU1BSRUFEX1VTRSAwIFBUI0dMT0NLRURfTU9ERV9DSEVDSyAwIFBUI0RVVFlfQ1lDTEU0IDUwLjAwMDAwMDAwIFBUI0RVVFlfQ1lDTEUzIDUwLjAwMDAwMDAwIFBUI0RVVFlfQ1lDTEUyIDUwLjAwMDAwMDAwIFBUI1NBQ05fSU5QVVRTX0NIRUNLIDAgUFQjRFVUWV9DWUNMRTEgNTAuMDAwMDAwMDAgUFQjSU5URU5ERURfREVWSUNFX0ZBTUlMWSB7TUFYIDEwfSBQVCNEVVRZX0NZQ0xFMCA1MC4wMDAwMDAwMCBQVCNQTExfVEFSR0VUX0hBUkNPUFlfQ0hFQ0sgMCBQVCNJTkNMSzFfRlJFUV9VTklUX0NIQU5HRUQgMSBQVCNSRUNPTkZJR19GSUxFIEFMVFBMTDE0MTg4ODI4MTYwOTMyODIubWlmIFBUI0FDVElWRUNMS19DSEVDSyAw::SElEREVOX1BSSVZBVEVT"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX1VTRURfUE9SVFM=::VVAjbG9ja2VkIHVzZWQgVVAjYzQgdXNlZCBVUCNjMyB1c2VkIFVQI2MyIHVzZWQgVVAjYzEgdXNlZCBVUCNjMCB1c2VkIFVQI2FyZXNldCB1c2VkIFVQI2luY2xrMCB1c2Vk::SElEREVOX1VTRURfUE9SVFM="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0lTX05VTUVSSUM=::SU4jV0lEVEhfQ0xPQ0sgMSBJTiNDTEswX0RVVFlfQ1lDTEUgMSBJTiNDTEsyX0RJVklERV9CWSAxIElOI1BMTF9UQVJHRVRfSEFSQ09QWV9DSEVDSyAxIElOI0NMSzNfRElWSURFX0JZIDEgSU4jQ0xLNF9NVUxUSVBMWV9CWSAxIElOI0NMSzFfTVVMVElQTFlfQlkgMSBJTiNDTEszX0RVVFlfQ1lDTEUgMSBJTiNDTEs0X0RJVklERV9CWSAxIElOI1NXSVRDSE9WRVJfQ09VTlRfRURJVCAxIElOI0lOQ0xLMF9JTlBVVF9GUkVRVUVOQ1kgMSBJTiNQTExfTFZEU19QTExfQ0hFQ0sgMSBJTiNQTExfQVVUT1BMTF9DSEVDSyAxIElOI1BMTF9GQVNUUExMX0NIRUNLIDEgSU4jQ0xLMV9EVVRZX0NZQ0xFIDEgSU4jUExMX0VOSFBMTF9DSEVDSyAxIElOI0NMSzJfTVVMVElQTFlfQlkgMSBJTiNESVZfRkFDVE9SNCAxIElOI0RJVl9GQUNUT1IzIDEgSU4jRElWX0ZBQ1RPUjIgMSBJTiNESVZfRkFDVE9SMSAxIElOI0RJVl9GQUNUT1IwIDEgSU4jTFZEU19NT0RFX0RBVEFfUkFURV9ESVJUWSAxIElOI0NMSzRfRFVUWV9DWUNMRSAxIElOI0dMT0NLX0NPVU5URVJfRURJVCAxIElOI0NMSzJfRFVUWV9DWUNMRSAxIElOI0NMSzBfRElWSURFX0JZIDEgSU4jQ0xLM19NVUxUSVBMWV9CWSAxIElOI01VTFRfRkFDVE9SNCAxIElOI01VTFRfRkFDVE9SMyAxIElOI01VTFRfRkFDVE9SMiAxIElOI01VTFRfRkFDVE9SMSAxIElOI01VTFRfRkFDVE9SMCAxIElOI0NMSzBfTVVMVElQTFlfQlkgMSBJTiNVU0VfTUlMX1NQRUVEX0dSQURFIDEgSU4jQ0xLMV9ESVZJREVfQlkgMQ==::SElEREVOX0lTX05VTUVSSUM="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX01GX1BPUlRT::TUYjYXJlc2V0IDEgTUYjY2xrIDEgTUYjbG9ja2VkIDEgTUYjaW5jbGsgMQ==::SElEREVOX01GX1BPUlRT"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0lGX1BPUlRT::SUYjbG9ja2VkIHtvdXRwdXQgMH0gSUYjcmVzZXQge2lucHV0IDB9IElGI2NsayB7aW5wdXQgMH0gSUYjcmVhZGRhdGEge291dHB1dCAzMn0gSUYjd3JpdGUge2lucHV0IDB9IElGI3BoYXNlZG9uZSB7b3V0cHV0IDB9IElGI2M0IHtvdXRwdXQgMH0gSUYjYzMge291dHB1dCAwfSBJRiNhZGRyZXNzIHtpbnB1dCAyfSBJRiNjMiB7b3V0cHV0IDB9IElGI2MxIHtvdXRwdXQgMH0gSUYjYzAge291dHB1dCAwfSBJRiN3cml0ZWRhdGEge2lucHV0IDMyfSBJRiNyZWFkIHtpbnB1dCAwfSBJRiNhcmVzZXQge2lucHV0IDB9::SElEREVOX0lGX1BPUlRT"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0lTX0ZJUlNUX0VESVQ=::MA==::SElEREVOX0lTX0ZJUlNUX0VESVQ="
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19JTkNMS19JTlRFUkZBQ0VfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZGVzY3JpcHRvcl9tZW1vcnk="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "T24tQ2hpcCBNZW1vcnkgKFJBTSBvciBST00pIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "YWxsb3dJblN5c3RlbU1lbW9yeUNvbnRlbnRFZGl0b3I=::ZmFsc2U=::RW5hYmxlIEluLVN5c3RlbSBNZW1vcnkgQ29udGVudCBFZGl0b3IgZmVhdHVyZQ=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "YmxvY2tUeXBl::QVVUTw==::QmxvY2sgdHlwZQ=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YVdpZHRo::MzI=::U2xhdmUgUzEgRGF0YSB3aWR0aA=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YVdpZHRoMg==::MzI=::U2xhdmUgUzIgRGF0YSB3aWR0aA=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZHVhbFBvcnQ=::ZmFsc2U=::RHVhbC1wb3J0IGFjY2Vzcw=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lbmFibGVEaWZmV2lkdGg=::ZmFsc2U=::ZGVyaXZlZF9lbmFibGVEaWZmV2lkdGg="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5pdE1lbUNvbnRlbnQ=::ZmFsc2U=::SW5pdGlhbGl6ZSBtZW1vcnkgY29udGVudA=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "bWVtb3J5U2l6ZQ==::ODE5Mg==::VG90YWwgbWVtb3J5IHNpemU="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2ltQWxsb3dNUkFNQ29udGVudHNGaWxl::ZmFsc2U=::QWxsb3cgTVJBTSBjb250ZW50cyBmaWxlIGZvciBzaW11bGF0aW9u"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2ltTWVtSW5pdE9ubHlGaWxlbmFtZQ==::MA==::U2ltdWxhdGlvbiBtZW1pbml0IG9ubHkgaGFzIGZpbGVuYW1l"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zaW5nbGVDbG9ja09wZXJhdGlvbg==::ZmFsc2U=::ZGVyaXZlZF9zaW5nbGVDbG9ja09wZXJhdGlvbg=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2xhdmUxTGF0ZW5jeQ==::MQ==::U2xhdmUgczEgTGF0ZW5jeQ=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "d3JpdGFibGU=::dHJ1ZQ==::VHlwZQ=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZWNjX2VuYWJsZWQ=::ZmFsc2U=::RXh0ZW5kIHRoZSBkYXRhIHdpZHRoIHRvIHN1cHBvcnQgRUNDIGJpdHM="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRyZXF1ZXN0X2VuYWJsZWQ=::dHJ1ZQ==::UmVzZXQgUmVxdWVzdA=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "YXV0b0luaXRpYWxpemF0aW9uRmlsZU5hbWU=::cV9zeXNfZGVzY3JpcHRvcl9tZW1vcnk=::YXV0b0luaXRpYWxpemF0aW9uRmlsZU5hbWU="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5::TUFYIDEw::ZGV2aWNlRmFtaWx5"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXM=::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::ZGV2aWNlRmVhdHVyZXM="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfYWRkcl93aWR0aA==::MTE=::U2xhdmUgMSBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfYWRkcl93aWR0aDI=::MTE=::U2xhdmUgMiBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfZGF0YV93aWR0aA==::MzI=::U2xhdmUgMSBkYXRhIHdpZHRo"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfZGF0YV93aWR0aDI=::MzI=::U2xhdmUgMiBkYXRhIHdpZHRo"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9ndWlfcmFtX2Jsb2NrX3R5cGU=::QXV0b21hdGlj::ZGVyaXZlZF9ndWlfcmFtX2Jsb2NrX3R5cGU="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pc19oYXJkY29weQ==::ZmFsc2U=::ZGVyaXZlZF9pc19oYXJkY29weQ=="
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pbml0X2ZpbGVfbmFtZQ==::cV9zeXNfZGVzY3JpcHRvcl9tZW1vcnkuaGV4::ZGVyaXZlZF9pbml0X2ZpbGVfbmFtZQ=="
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZGVidWdfdWFydA=="
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "VUFSVCAoUlMtMjMyIFNlcmlhbCBQb3J0KSBJbnRlbCBGUEdBIElQ"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "YmF1ZA==::MTE1MjAw::QmF1ZCByYXRlIChicHMp"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YUJpdHM=::OA==::RGF0YSBiaXRz"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "Zml4ZWRCYXVk::dHJ1ZQ==::Rml4ZWQgYmF1ZCByYXRl"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "cGFyaXR5::Tk9ORQ==::UGFyaXR5"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2ltSW50ZXJhY3RpdmVJbnB1dEVuYWJsZQ==::ZmFsc2U=::SW50ZXJhY3RpdmUgc3RpbXVsdXMgd2luZG93"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2ltSW50ZXJhY3RpdmVPdXRwdXRFbmFibGU=::ZmFsc2U=::U3RyZWFtaW5nIG91dHB1dCB3aW5kb3c="
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2ltVHJ1ZUJhdWQ=::ZmFsc2U=::T3B0aW9u"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "c3RvcEJpdHM=::MQ==::U3RvcCBiaXRz"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "c3luY1JlZ0RlcHRo::Mg==::U3luY2hyb25pemVyIHN0YWdlcw=="
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "dXNlQ3RzUnRz::ZmFsc2U=::SW5jbHVkZSBDVFMvUlRT"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "dXNlRW9wUmVnaXN0ZXI=::ZmFsc2U=::SW5jbHVkZSBlbmQtb2YtcGFja2V0"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "dXNlUmVsYXRpdmVQYXRoRm9yU2ltRmlsZQ==::ZmFsc2U=::dXNlUmVsYXRpdmVQYXRoRm9yU2ltRmlsZQ=="
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "YmF1ZEVycm9y::MC4wMQ==::QmF1ZCBlcnJvcg=="
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_COMPONENT_PARAMETER "cGFyaXR5RmlzcnRDaGFy::Tg==::cGFyaXR5RmlzcnRDaGFy"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZGRyM19yYW0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "RERSMyBTRFJBTSBDb250cm9sbGVyIHdpdGggVW5pUEhZIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "RERSMyBTRFJBTSBDb250cm9sbGVyIHdpdGggVW5pUEhZIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDAxMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMDAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDAxMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMDAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::ZmFsc2U=::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::MQ==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MA==::TVIxX1JUVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MA==::TVIyX0NXTA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MQ==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTQ=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTQ=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MQ==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::dHJ1ZQ==::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MQ==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::OA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS4yNQ==::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTI1MC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTA=::TUVNX1RGQVc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mg==::TUVNX1RSUkQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::MTAw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::NQ==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::ZmFsc2U=::RkxZX0JZ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::ODAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTQ=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::NQ==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::NQ==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTcw::dElTIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTIw::dElIIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NDU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTAw::dERRU1E="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjI1::dERRU0NL"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNw==::dERRU1M="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4xOA==::dERTSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4xOA==::dERTUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzUuMA==::dFJBUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNzU=::dFJDRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNzU=::dFJQ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Ng==::dFdUUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzAuMA==::dEZBVw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ni4w::dFJSRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURQ==::SGFsZg==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::Mg==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::Mjg=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Ng==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::Mg==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::Mg==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::Mg==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::Mg==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::TUFYIDEw::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::dHJ1ZQ==::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::ZmFsc2U=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Ng==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::ZmFsc2U=::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::ZmFsc2U=::SEFSRF9QSFk="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NTX1dJRFRI::MQ==::Q1RMX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0JFX1dJRFRI::NA==::QVZMX0JFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX1NZTUJPTF9XSURUSA==::OA==::QVZMX1NZTUJPTF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xT::NA==::QVZMX05VTV9TWU1CT0xT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX1NJWkVfV0lEVEg=::Mw==::QVZMX1NJWkVfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19DU1JfRU5BQkxFRA==::ZmFsc2U=::Q1RMX0VDQ19DU1JfRU5BQkxFRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFdJRFRIX1JBVElP::NA==::RFdJRFRIX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX09EVF9FTkFCTEVE::ZmFsc2U=::Q1RMX09EVF9FTkFCTEVE"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX09VVFBVVF9SRUdE::ZmFsc2U=::Q1RMX09VVFBVVF9SRUdE"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::Q1RMX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TE9XX0xBVEVOQ1k=::ZmFsc2U=::TE9XX0xBVEVOQ1k="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9UWVBF::bmV4dGdlbl92MTEw::Q09OVFJPTExFUl9UWVBF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1RCUF9OVU0=::NA==::Q1RMX1RCUF9OVU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNI::MA==::Q1RMX1VTUl9SRUZSRVNI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSA==::MA==::Q1RMX1NFTEZfUkVGUkVTSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RZUEU=::Mg==::Q0ZHX1RZUEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0lOVEVSRkFDRV9XSURUSA==::OA==::Q0ZHX0lOVEVSRkFDRV9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0JVUlNUX0xFTkdUSA==::OA==::Q0ZHX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0FERFJfT1JERVI=::MA==::Q0ZHX0FERFJfT1JERVI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BETl9FWElUX0NZQ0xFUw==::MTA=::Q0ZHX1BETl9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw==::NQ==::Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT::MjA=::Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw==::NTEy::Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA=::MQ==::Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA==::MQ==::Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1dSSVRFX09EVF9DSElQ::MQ==::Q0ZHX1dSSVRFX09EVF9DSElQ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFQURfT0RUX0NISVA=::MA==::Q0ZHX1JFQURfT0RUX0NISVA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TE9DQUxfQ1NfV0lEVEg=::MA==::TE9DQUxfQ1NfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0NMUl9JTlRS::MA==::Q0ZHX0NMUl9JTlRS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0VOQUJMRV9OT19ETQ==::MA==::Q0ZHX0VOQUJMRV9OT19ETQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FERF9MQVQ=::MA==::TUVNX0FERF9MQVQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0VSUkNNRF9GSUZPX1JFRw==::MA==::Q0ZHX0VSUkNNRF9GSUZPX1JFRw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0VDQ19ERUNPREVSX1JFRw==::MA==::Q0ZHX0VDQ19ERUNPREVSX1JFRw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k=::ZmFsc2U=::Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1NUQVJWRV9MSU1JVA==::MTA=::Q0ZHX1NUQVJWRV9MSU1JVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fUERfQ1lDTEVT::MA==::TUVNX0FVVE9fUERfQ1lDTEVT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8w::MA==::UFJJT1JJVFlfUE9SVF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMA==::MA==::V0VJR0hUX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMA==::NQ==::TFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMA==::NQ==::TVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMA==::NQ==::TFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMA==::NQ==::TVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8x::MA==::UFJJT1JJVFlfUE9SVF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMQ==::MA==::V0VJR0hUX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMQ==::NQ==::TFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMQ==::NQ==::TVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMQ==::NQ==::TFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMQ==::NQ==::TVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8y::MA==::UFJJT1JJVFlfUE9SVF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMg==::MA==::V0VJR0hUX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMg==::NQ==::TFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMg==::NQ==::TVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMg==::NQ==::TFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMg==::NQ==::TVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8z::MA==::UFJJT1JJVFlfUE9SVF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMw==::MA==::V0VJR0hUX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMw==::NQ==::TFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMw==::NQ==::TVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMw==::NQ==::TFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMw==::NQ==::TVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF80::MA==::UFJJT1JJVFlfUE9SVF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNA==::MA==::V0VJR0hUX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNA==::NQ==::TFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNA==::NQ==::TVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNA==::NQ==::TFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNA==::NQ==::TVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF81::MA==::UFJJT1JJVFlfUE9SVF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNQ==::MA==::V0VJR0hUX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNQ==::NQ==::TFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNQ==::NQ==::TVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNQ==::NQ==::TFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNQ==::NQ==::TVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1JGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFJlYWQtRGF0YSBGSUZP"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1dGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFdyaXRlLURhdGEgRklGTw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfT05FX1JFU0VU::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX29uZV9yZXNldA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfWkVST19SRVNFVA==::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX3plcm9fcmVzZXQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX1NUQVRJQ19DT05GSUdfVkFMSUQ=::RElTQUJMRUQ=::YXR0cl9zdGF0aWNfY29uZmlnX3ZhbGlk"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DQUxfUkVR::RElTQUJMRUQ=::Y2FsX3JlcQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfQlVSU1RfTEVOR1RI::QkxfOA==::Y2ZnX2J1cnN0X2xlbmd0aA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfSU5URVJGQUNFX1dJRFRI::RFdJRFRIXzMy::Y2ZnX2ludGVyZmFjZV93aWR0aA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU1RBUlZFX0xJTUlU::U1RBUlZFX0xJTUlUXzMy::Y2ZnX3N0YXJ2ZV9saW1pdA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfVFlQRQ==::RERSMw==::Y2ZnX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMg==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMw==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTFJfSU5UUg==::Tk9fQ0xSX0lOVFI=::Y2xyX2ludHI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQwX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQxX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQyX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQzX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ0X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ1X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfQUREUl9PUkRFUg==::Q0hJUF9CQU5LX1JPV19DT0w=::Y3RsX2FkZHJfb3JkZXI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX0VOQUJMRUQ=::Q1RMX0VDQ19ESVNBQkxFRA==::Y3RsX2VjY19lbmFibGVk"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX1JNV19FTkFCTEVE::Q1RMX0VDQ19STVdfRElTQUJMRUQ=::Y3RsX2VjY19ybXdfZW5hYmxlZA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfUkVHRElNTV9FTkFCTEVE::UkVHRElNTV9ESVNBQkxFRA==::Y3RsX3JlZ2RpbW1fZW5hYmxlZA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfVVNSX1JFRlJFU0g=::Q1RMX1VTUl9SRUZSRVNIX0RJU0FCTEVE::Y3RsX3Vzcl9yZWZyZXNo"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVFJMX1dJRFRI::REFUQV9XSURUSF82NF9CSVQ=::Y3RybF93aWR0aA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9ERUxBWV9CT05ESU5H::Qk9ORElOR19MQVRFTkNZXzA=::ZGVsYXlfYm9uZGluZw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9ERlhfQllQQVNTX0VOQUJMRQ==::REZYX0JZUEFTU19ESVNBQkxFRA==::ZGZ4X2J5cGFzc19lbmFibGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9ESVNBQkxFX01FUkdJTkc=::TUVSR0lOR19FTkFCTEVE::ZGlzYWJsZV9tZXJnaW5n"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FQ0NfRFFfV0lEVEg=::RUNDX0RRX1dJRFRIXzA=::ZWNjX2RxX3dpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQVRQRw==::RElTQUJMRUQ=::ZW5hYmxlX2F0cGc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR19XUkFQQkFDSw==::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfd3JhcGJhY2s="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRFFTX1RSQUNLSU5H::RElTQUJMRUQ=::ZW5hYmxlX2Rxc190cmFja2luZw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRUNDX0NPREVfT1ZFUldSSVRFUw==::RElTQUJMRUQ=::ZW5hYmxlX2VjY19jb2RlX292ZXJ3cml0ZXM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRkFTVF9FWElUX1BQRA==::RElTQUJMRUQ=::ZW5hYmxlX2Zhc3RfZXhpdF9wcGQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfSU5UUg==::RElTQUJMRUQ=::ZW5hYmxlX2ludHI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfTk9fRE0=::RElTQUJMRUQ=::ZW5hYmxlX25vX2Rt"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfUElQRUxJTkVHTE9CQUw=::RElTQUJMRUQ=::ZW5hYmxlX3BpcGVsaW5lZ2xvYmFs"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9HQU5HRURfQVJG::RElTQUJMRUQ=::Z2FuZ2VkX2FyZg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fREJF::R0VOX0RCRV9ESVNBQkxFRA==::Z2VuX2RiZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fU0JF::R0VOX1NCRV9ESVNBQkxFRA==::Z2VuX3NiZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9JTkNfU1lOQw==::RklGT19TRVRfMg==::aW5jX3N5bmM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9MT0NBTF9JRl9DU19XSURUSA==::QUREUl9XSURUSF8y::bG9jYWxfaWZfY3Nfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0NPUlJfRFJPUFBFRF9JTlRS::RElTQUJMRUQ=::bWFza19jb3JyX2Ryb3BwZWRfaW50cg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0RCRV9JTlRS::RElTQUJMRUQ=::bWFza19kYmVfaW50cg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX1NCRV9JTlRS::RElTQUJMRUQ=::bWFza19zYmVfaW50cg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQUw=::QUxfMA==::bWVtX2lmX2Fs"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQkFOS0FERFJfV0lEVEg=::QUREUl9XSURUSF8z::bWVtX2lmX2JhbmthZGRyX3dpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQlVSU1RMRU5HVEg=::TUVNX0lGX0JVUlNUTEVOR1RIXzg=::bWVtX2lmX2J1cnN0bGVuZ3Ro"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ09MQUREUl9XSURUSA==::QUREUl9XSURUSF8xMg==::bWVtX2lmX2NvbGFkZHJfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfUEVSX1JBTks=::TUVNX0lGX0NTX1BFUl9SQU5LXzE=::bWVtX2lmX2NzX3Blcl9yYW5r"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfV0lEVEg=::TUVNX0lGX0NTX1dJRFRIXzE=::bWVtX2lmX2NzX3dpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFfUEVSX0NISVA=::TUVNX0lGX0RRX1BFUl9DSElQXzg=::bWVtX2lmX2RxX3Blcl9jaGlw"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFTX1dJRFRI::RFFTX1dJRFRIXzQ=::bWVtX2lmX2Rxc193aWR0aA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFdJRFRI::TUVNX0lGX0RXSURUSF8zMg==::bWVtX2lmX2R3aWR0aA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfTUVNVFlQRQ==::RERSM19TRFJBTQ==::bWVtX2lmX21lbXR5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfUk9XQUREUl9XSURUSA==::QUREUl9XSURUSF8xNg==::bWVtX2lmX3Jvd2FkZHJfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfU1BFRURCSU4=::RERSM18xMDY2XzZfNl82::bWVtX2lmX3NwZWVkYmlu"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENDRA==::VENDRF80::bWVtX2lmX3RjY2Q="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENM::VENMXzY=::bWVtX2lmX3RjbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENXTA==::VENXTF81::bWVtX2lmX3Rjd2w="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVEZBVw==::VEZBV18xNg==::bWVtX2lmX3RmYXc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJBUw==::VFJBU18xNg==::bWVtX2lmX3RyYXM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJD::VFJDXzIy::bWVtX2lmX3RyYw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJDRA==::VFJDRF82::bWVtX2lmX3RyY2Q="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJQ::VFJQXzY=::bWVtX2lmX3RycA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJSRA==::VFJSRF80::bWVtX2lmX3RycmQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJUUA==::VFJUUF80::bWVtX2lmX3RydHA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdS::VFdSXzY=::bWVtX2lmX3R3cg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdUUg==::VFdUUl80::bWVtX2lmX3R3dHI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NTVJfQ0ZHX01FTV9CTA==::TVBfQkxfOA==::bW1yX2NmZ19tZW1fYmw="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9PVVRQVVRfUkVHRA==::RElTQUJMRUQ=::b3V0cHV0X3JlZ2Q="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QRE5fRVhJVF9DWUNMRVM=::U0xPV19FWElU::cGRuX2V4aXRfY3ljbGVz"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8w::RkFMU0U=::cmRfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8x::RkFMU0U=::cmRfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8y::RkFMU0U=::cmRfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8z::RkFMU0U=::cmRfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRUFEX09EVF9DSElQ::T0RUX0RJU0FCTEVE::cmVhZF9vZHRfY2hpcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRU9SREVSX0RBVEE=::REFUQV9SRU9SREVSSU5H::cmVvcmRlcl9kYXRh"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMA==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMQ==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMg==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMw==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMg==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMw==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9URVNUX01PREU=::Tk9STUFMX01PREU=::dGVzdF9tb2Rl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMg==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMw==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMg==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMw==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzA=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzE=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzI=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzM=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX0VDQ19FTg==::RElTQUJMRQ==::dXNlcl9lY2NfZW4="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8wX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8xX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8yX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8zX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8w::RkFMU0U=::d3JfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8x::RkFMU0U=::d3JfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8y::RkFMU0U=::d3JfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8z::RkFMU0U=::d3JfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUklURV9PRFRfQ0hJUA==::T0RUX0RJU0FCTEVE::d3JpdGVfb2R0X2NoaXA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQVVUT19QRF9DWUNMRVM=::MA==::bWVtX2F1dG9fcGRfY3ljbGVz"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMg==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMw==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1Q=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1RfRElGRl9CQU5L::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0X2RpZmZfYmFuaw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19QQ0g=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcGNo"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19SRFdS::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcmR3cg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfcGVyaW9k"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfdG9fdmFsaWQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0ZPVVJfQUNUX1RPX0FDVA==::MA==::ZXh0cmFfY3RsX2Nsa19mb3VyX2FjdF90b19hY3Q="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9BTExfVE9fVkFMSUQ=::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfYWxsX3RvX3ZhbGlk"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfdG9fdmFsaWQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fcGVyaW9k"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fdG9fdmFsaWQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa19yZF9hcF90b192YWxpZA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19wY2g="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0JD::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9iYw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fdmFsaWQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19aUV9DQUw=::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fenFfY2Fs"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa193cl9hcF90b192YWxpZA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19wY2g="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0JD::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9iYw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJFRkk=::MzEyMA==::bWVtX2lmX3RyZWZp"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJGQw==::MzQ=::bWVtX2lmX3RyZmM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BU0s=::MA==::YXR0cl9jb3VudGVyX29uZV9tYXNr"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BVENI::MA==::YXR0cl9jb3VudGVyX29uZV9tYXRjaA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVNL::MA==::YXR0cl9jb3VudGVyX3plcm9fbWFzaw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVRDSA==::MA==::YXR0cl9jb3VudGVyX3plcm9fbWF0Y2g="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0RFQlVHX1NFTEVDVF9CWVRF::MA==::YXR0cl9kZWJ1Z19zZWxlY3RfYnl0ZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19QT1dFUl9TQVZJTkdfRVhJVF9DWUNMRVM=::NQ==::cG93ZXJfc2F2aW5nX2V4aXRfY3ljbGVz"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQ0xLX0VOVFJZX0NZQ0xFUw==::MTA=::bWVtX2Nsa19lbnRyeV9jeWNsZXM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfSU5URVJSVVBU::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X2ludGVycnVwdA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfVEVSTUlOQVRF::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X3Rlcm1pbmF0ZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8wX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MA==::YXZfcG9ydF8wX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8wX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MA==::Y3ZfcG9ydF8wX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzA=::MA==::VEdfVEVNUF9QT1JUXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8xX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MQ==::YXZfcG9ydF8xX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8xX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MQ==::Y3ZfcG9ydF8xX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzE=::MA==::VEdfVEVNUF9QT1JUXzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8yX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mg==::YXZfcG9ydF8yX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8yX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mg==::Y3ZfcG9ydF8yX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzI=::MA==::VEdfVEVNUF9QT1JUXzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8zX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mw==::YXZfcG9ydF8zX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8zX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mw==::Y3ZfcG9ydF8zX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzM=::MA==::VEdfVEVNUF9QT1JUXzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF80X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NA==::YXZfcG9ydF80X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF80X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NA==::Y3ZfcG9ydF80X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzQ=::MA==::VEdfVEVNUF9QT1JUXzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF81X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NQ==::YXZfcG9ydF81X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF81X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NQ==::Y3ZfcG9ydF81X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzU=::MA==::VEdfVEVNUF9QT1JUXzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw=::ZmFsc2U=::Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYMTBfQ0ZH::dHJ1ZQ==::TUFYMTBfQ0ZH"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9XRVJfT0ZfVFdPX0JVUw==::ZmFsc2U=::R2VuZXJhdGUgcG93ZXItb2YtMiBkYXRhIGJ1cyB3aWR0aHMgZm9yIFFzeXMgb3IgU09QQyBCdWlsZGVy"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U09QQ19DT01QQVRfUkVTRVQ=::ZmFsc2U=::R2VuZXJhdGUgU09QQyBCdWlsZGVyIGNvbXBhdGlibGUgcmVzZXRz"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX01BWF9TSVpF::NA==::TWF4aW11bSBBdmFsb24tTU0gYnVyc3QgbGVuZ3Ro"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEU=::dHJ1ZQ==::RW5hYmxlIEF2YWxvbi1NTSBieXRlLWVuYWJsZSBzaWduYWw="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ==::dHJ1ZQ==::RW5hYmxlIEF2YWxvbiBpbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0RFRVBfUE9XRVJETl9FTg==::ZmFsc2U=::RW5hYmxlIERlZXAgUG93ZXItRG93biBDb250cm9scw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSF9FTg==::ZmFsc2U=::RW5hYmxlIFNlbGYtUmVmcmVzaCBDb250cm9scw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19QT1dFUkROX0VO::ZmFsc2U=::RW5hYmxlIEF1dG8gUG93ZXItRG93bg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNIX0VO::ZmFsc2U=::RW5hYmxlIFVzZXIgQXV0by1SZWZyZXNoIENvbnRyb2xz"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0FVVE9QQ0hfRU4=::ZmFsc2U=::RW5hYmxlIEF1dG8tUHJlY2hhcmdlIENvbnRyb2w="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1pRQ0FMX0VO::ZmFsc2U=::RW5hYmxlIFpRIENhbGlicmF0aW9uIENvbnRyb2w="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9PUkRFUg==::MA==::TG9jYWwtdG8tTWVtb3J5IEFkZHJlc3MgTWFwcGluZw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0xPT0tfQUhFQURfREVQVEg=::NA==::Q29tbWFuZCBRdWV1ZSBMb29rLUFoZWFkIERlcHRo"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9MQVRFTkNZ::NQ==::UmVkdWNlIENvbnRyb2xsZXIgTGF0ZW5jeSBCeQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFT1JERVJfREFUQQ==::dHJ1ZQ==::RW5hYmxlIFJlb3JkZXJpbmc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlZFX0xJTUlU::MTA=::U3RhcnZhdGlvbiBsaW1pdCBmb3IgZWFjaCBjb21tYW5k"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19FTkFCTEVE::ZmFsc2U=::RW5hYmxlIEVycm9yIERldGVjdGlvbiBhbmQgQ29ycmVjdGlvbiBMb2dpYw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0hSQl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIGhhbGYgcmF0ZSBicmlkZ2U="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVVMVElDQVNUX0VO::ZmFsc2U=::RW5hYmxlIE11bHRpLWNhc3QgV3JpdGUgQ29udHJvbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19BTExPQ0FUSU9O::ZmFsc2U=::RW5hYmxlIHJlZHVjZWQgYmFuayB0cmFja2luZyBmb3IgYXJlYSBvcHRpbWl6YXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVCVUdfTU9ERQ==::ZmFsc2U=::RW5hYmxlIGludGVybmFsIGRlYnVnIHBhcmFtZXRlcg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JVUlNUX01FUkdF::ZmFsc2U=::RW5hYmxlIGJ1cnN0IG1lcmdpbmc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFQ=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IGludGVycnVwdA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEU=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IHRlcm1pbmF0ZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TE9DQUxfSURfV0lEVEg=::OA==::TG9jYWwgSUQgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRCVUZGRVJfQUREUl9XSURUSA==::Nw==::UmVhZCBidWZmZXIgYWRkcmVzcyB3aWR0aA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "V1JCVUZGRVJfQUREUl9XSURUSA==::Ng==::V3JpdGUgYnVmZmVyIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfV1JfQ01E::MTY=::TWF4IHBlbmRpbmcgd3JpdGUgY29tbWFuZHM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkRfQ01E::MzI=::TWF4IHBlbmRpbmcgcmVhZCBjb21tYW5kcw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01NX0FEQVBUT1I=::dHJ1ZQ==::VXNlIEF2YWxvbiBNTSBBZGFwdG9y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FYSV9BREFQVE9S::ZmFsc2U=::VXNlIEFYSSBBZGFwdG9y"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NNRF9RVUVVRV9ERVBUSA==::OA==::Q1RMX0NNRF9RVUVVRV9ERVBUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9SRUFEX09OTFk=::MQ==::Q1RMX0NTUl9SRUFEX09OTFk="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0RBVEFfUkVPUkRFUklOR19UWVBF::SU5URVJfQkFOSw==::UmVvcmRlcmluZyB0eXBl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09GX1BPUlRT::MQ==::TnVtYmVyIG9mIHBvcnRz"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JPTkRJTkc=::ZmFsc2U=::RXhwb3J0IGJvbmRpbmcgaW50ZXJmYWNl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1VTRVJfRUND::ZmFsc2U=::RXhwYW5kIEF2YWxvbi1NTSBkYXRhIGZvciBFQ0M="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVA==::MzIsMzIsMzIsMzIsMzIsMzI=::V2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVA==::MSwxLDEsMSwxLDE=::UHJpb3JpdHk="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlQ=::MCwwLDAsMCwwLDA=::V2VpZ2h0"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JU::QmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWwsQmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWw=::VHlwZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::ZmFsc2U=::R0VORVJJQ19QTEw="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::dHJ1ZQ==::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::dHJ1ZQ==::UExMX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::dHJ1ZQ==::RExMX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MTkx::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::ZmFsc2U=::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::ZmFsc2U=::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::ZmFsc2U=::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NQ==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MzAwLjA=::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfUkFURQ==::SEFMRg==::VEJfUkFURQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::OA==::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::MTAwLjA=::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1NUUg==::MTAwLjAgTUh6::UkVGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MTAuMA==::UkVGX0NMS19OUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MTAwMDAuMA==::UkVGX0NMS19QUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0RSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX01FTV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::Mw==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MQ==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MTUwLjA=::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TVFI=::MTUwLjAgTUh6::UExMX0FGSV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::Mw==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::Mg==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX1dSSVRFX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::Mw==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MQ==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI=::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::MC4w::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg==::MCBwcw==::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::MA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::MA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::MA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MA==::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0hSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::ZmFsc2U=::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::MC4w::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MA==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::Mw==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MQ==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::MA==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MTUwLjA=::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::Mw==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::Mg==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::MA==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MA==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::MA==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MA==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MA==::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MA==::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREVfQ0FDSEU=::Ng==::U1BFRURfR1JBREVfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::MTAwLjA=::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::SGFsZg==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::TklPUw==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::ZmFsc2U=::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklYX1JFQURfTEFURU5DWQ==::OA==::Rml4ZWQgUmVhZCBMYXRlbmN5"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MQ==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MA==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::NA==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MQ==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MQ==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::ZmFsc2U=::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::MQ==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::Mg==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::NA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::Mg==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::OTAwMA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::Mw==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::Mw==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::Nw==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MTQ=::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::My4zMzM=::TUVNX0NMS19OUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MzMzMy4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::NA==::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::MTI=::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::NDE2::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::NTA=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::NTA=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::Nw==::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::MTU=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::MTU=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::MTU=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::Nw==::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fU1RBTkRBUkQ=::U1NUTC0xNQ==::SS9PIHN0YW5kYXJk"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::dHJ1ZQ==::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19IRVhfRklMRV9MT0NBVElPTg==::Li4v::TklPU19IRVhfRklMRV9MT0NBVElPTg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::dHJ1ZQ==::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::NQ==::TUVNX1RfV0w="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::NQ==::TUVNX1RfUkw="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::ZmFsc2U=::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::dHJ1ZQ==::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX09OTFk=::ZmFsc2U=::R2VuZXJhdGUgUEhZIG9ubHk="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::U2tpcA==::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4zMg==::dElT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4yMg==::dElI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4xNg==::dERT"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4xNDU=::dERI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC4yNDQz::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC4yMTkyOQ==::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::MC4wMjI4Ng==::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::MC4wMjI4Ng==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wNDQyOQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wNDQyOQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4w::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMTgwNg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wNDI0Ng==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::LTAuMDExNTI=::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wNzgwMQ==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::LTAuMDQ3MDk=::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREX0VYVEVSTkFMX1NFUV9ERUJVR19OSU9T::ZmFsc2U=::QWRkIGV4dGVybmFsIE5pb3MgdG8gY29ubmVjdCB0byBzZXF1ZW5jZXIgZGVidWcgaW50ZXJmYWNl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RURfRVhQT1JUX1NFUV9ERUJVRw==::ZmFsc2U=::RXhwb3J0IHNlcXVlbmNlciBkZWJ1ZyBpbnRlcmZhY2UgZnJvbSBleGFtcGxlIGRlc2lnbg=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREX0VGRklDSUVOQ1lfTU9OSVRPUg==::ZmFsc2U=::RW5hYmxlIHRoZSBFZmZpY2llbmN5IE1vbml0b3IgYW5kIFByb3RvY29sIENoZWNrZXIgb24gdGhlIENvbnRyb2xsZXIgQXZhbG9uIEludGVyZmFjZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fTUVNX0lOSVQ=::ZmFsc2U=::RW5hYmxlIHN1cHBvcnQgZm9yIE5pb3MgSUkgTW9kZWxTaW0gZmxvdyBpbiBFY2xpcHNl"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU19SQU1fSU5URVJOQUw=::ZmFsc2U=::RU5BQkxFX0FCU19SQU1fSU5URVJOQUw="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0FCU1RSQUNUX1JBTQ==::ZmFsc2U=::RU5BQkxFX0FCU1RSQUNUX1JBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUJTX1JBTV9NRU1fSU5JVF9GSUxFTkFNRQ==::bWVtaW5pdA==::TWVtb3J5IGluaXRpYWxpemF0aW9uIGZpbGUgYmFzZW5hbWU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Ng==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTQ=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Ng==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZGRyM19yYW1fYzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIEREUjMgTmV4dGdlbiBNZW1vcnkgQ29udHJvbGxlcg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIEREUjMgTmV4dGdlbiBNZW1vcnkgQ29udHJvbGxlcg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDAxMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMDAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDAxMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMDAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::ZmFsc2U=::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::MQ==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MA==::TVIxX1JUVA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MA==::TVIyX0NXTA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MQ==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTQ=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTQ=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MQ==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::dHJ1ZQ==::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MQ==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::OA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS4yNQ==::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTI1MC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTA=::TUVNX1RGQVc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mg==::TUVNX1RSUkQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::MTAw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::NQ==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::ODAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTQ=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::NQ==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::NQ==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTcw::dElTIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTIw::dElIIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NDU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTAw::dERRU1E="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjI1::dERRU0NL"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNw==::dERRU1M="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4xOA==::dERTSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4xOA==::dERTUw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzUuMA==::dFJBUw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNzU=::dFJDRA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNzU=::dFJQ"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Ng==::dFdUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzAuMA==::dEZBVw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ni4w::dFJSRA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NTX1dJRFRI::MQ==::Q1RMX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0JFX1dJRFRI::NA==::QVZMX0JFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX1NZTUJPTF9XSURUSA==::OA==::QVZMX1NZTUJPTF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xT::NA==::QVZMX05VTV9TWU1CT0xT"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX1NJWkVfV0lEVEg=::Mw==::QVZMX1NJWkVfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19DU1JfRU5BQkxFRA==::ZmFsc2U=::Q1RMX0VDQ19DU1JfRU5BQkxFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFdJRFRIX1JBVElP::NA==::RFdJRFRIX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX09EVF9FTkFCTEVE::ZmFsc2U=::Q1RMX09EVF9FTkFCTEVE"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX09VVFBVVF9SRUdE::ZmFsc2U=::Q1RMX09VVFBVVF9SRUdE"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::Q1RMX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TE9XX0xBVEVOQ1k=::ZmFsc2U=::TE9XX0xBVEVOQ1k="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9UWVBF::bmV4dGdlbl92MTEw::Q09OVFJPTExFUl9UWVBF"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1RCUF9OVU0=::NA==::Q1RMX1RCUF9OVU0="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNI::MA==::Q1RMX1VTUl9SRUZSRVNI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSA==::MA==::Q1RMX1NFTEZfUkVGUkVTSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RZUEU=::Mg==::Q0ZHX1RZUEU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0lOVEVSRkFDRV9XSURUSA==::OA==::Q0ZHX0lOVEVSRkFDRV9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0JVUlNUX0xFTkdUSA==::OA==::Q0ZHX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0FERFJfT1JERVI=::MA==::Q0ZHX0FERFJfT1JERVI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BETl9FWElUX0NZQ0xFUw==::MTA=::Q0ZHX1BETl9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw==::NQ==::Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT::MjA=::Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw==::NTEy::Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA=::MQ==::Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA==::MQ==::Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1dSSVRFX09EVF9DSElQ::MQ==::Q0ZHX1dSSVRFX09EVF9DSElQ"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFQURfT0RUX0NISVA=::MA==::Q0ZHX1JFQURfT0RUX0NISVA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TE9DQUxfQ1NfV0lEVEg=::MA==::TE9DQUxfQ1NfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0NMUl9JTlRS::MA==::Q0ZHX0NMUl9JTlRS"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0VOQUJMRV9OT19ETQ==::MA==::Q0ZHX0VOQUJMRV9OT19ETQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FERF9MQVQ=::MA==::TUVNX0FERF9MQVQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0VSUkNNRF9GSUZPX1JFRw==::MA==::Q0ZHX0VSUkNNRF9GSUZPX1JFRw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0VDQ19ERUNPREVSX1JFRw==::MA==::Q0ZHX0VDQ19ERUNPREVSX1JFRw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k=::ZmFsc2U=::Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1NUQVJWRV9MSU1JVA==::MTA=::Q0ZHX1NUQVJWRV9MSU1JVA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fUERfQ1lDTEVT::MA==::TUVNX0FVVE9fUERfQ1lDTEVT"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8w::MA==::UFJJT1JJVFlfUE9SVF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMA==::MA==::V0VJR0hUX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMA==::NQ==::TFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMA==::NQ==::TVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMA==::NQ==::TFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMA==::NQ==::TVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8x::MA==::UFJJT1JJVFlfUE9SVF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMQ==::MA==::V0VJR0hUX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMQ==::NQ==::TFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMQ==::NQ==::TVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMQ==::NQ==::TFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMQ==::NQ==::TVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8y::MA==::UFJJT1JJVFlfUE9SVF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMg==::MA==::V0VJR0hUX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMg==::NQ==::TFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMg==::NQ==::TVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMg==::NQ==::TFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMg==::NQ==::TVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8z::MA==::UFJJT1JJVFlfUE9SVF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMw==::MA==::V0VJR0hUX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMw==::NQ==::TFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMw==::NQ==::TVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMw==::NQ==::TFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMw==::NQ==::TVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF80::MA==::UFJJT1JJVFlfUE9SVF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNA==::MA==::V0VJR0hUX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNA==::NQ==::TFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNA==::NQ==::TVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNA==::NQ==::TFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNA==::NQ==::TVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF81::MA==::UFJJT1JJVFlfUE9SVF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNQ==::MA==::V0VJR0hUX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNQ==::NQ==::TFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNQ==::NQ==::TVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNQ==::NQ==::TFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNQ==::NQ==::TVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1JGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFJlYWQtRGF0YSBGSUZP"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1dGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFdyaXRlLURhdGEgRklGTw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfT05FX1JFU0VU::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX29uZV9yZXNldA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfWkVST19SRVNFVA==::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX3plcm9fcmVzZXQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX1NUQVRJQ19DT05GSUdfVkFMSUQ=::RElTQUJMRUQ=::YXR0cl9zdGF0aWNfY29uZmlnX3ZhbGlk"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DQUxfUkVR::RElTQUJMRUQ=::Y2FsX3JlcQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfQlVSU1RfTEVOR1RI::QkxfOA==::Y2ZnX2J1cnN0X2xlbmd0aA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfSU5URVJGQUNFX1dJRFRI::RFdJRFRIXzMy::Y2ZnX2ludGVyZmFjZV93aWR0aA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU1RBUlZFX0xJTUlU::U1RBUlZFX0xJTUlUXzMy::Y2ZnX3N0YXJ2ZV9saW1pdA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfVFlQRQ==::RERSMw==::Y2ZnX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMg==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMw==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTFJfSU5UUg==::Tk9fQ0xSX0lOVFI=::Y2xyX2ludHI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQwX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQxX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQyX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQzX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ0X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ1X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfQUREUl9PUkRFUg==::Q0hJUF9CQU5LX1JPV19DT0w=::Y3RsX2FkZHJfb3JkZXI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX0VOQUJMRUQ=::Q1RMX0VDQ19ESVNBQkxFRA==::Y3RsX2VjY19lbmFibGVk"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX1JNV19FTkFCTEVE::Q1RMX0VDQ19STVdfRElTQUJMRUQ=::Y3RsX2VjY19ybXdfZW5hYmxlZA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfUkVHRElNTV9FTkFCTEVE::UkVHRElNTV9ESVNBQkxFRA==::Y3RsX3JlZ2RpbW1fZW5hYmxlZA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfVVNSX1JFRlJFU0g=::Q1RMX1VTUl9SRUZSRVNIX0RJU0FCTEVE::Y3RsX3Vzcl9yZWZyZXNo"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVFJMX1dJRFRI::REFUQV9XSURUSF82NF9CSVQ=::Y3RybF93aWR0aA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9ERUxBWV9CT05ESU5H::Qk9ORElOR19MQVRFTkNZXzA=::ZGVsYXlfYm9uZGluZw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9ERlhfQllQQVNTX0VOQUJMRQ==::REZYX0JZUEFTU19ESVNBQkxFRA==::ZGZ4X2J5cGFzc19lbmFibGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9ESVNBQkxFX01FUkdJTkc=::TUVSR0lOR19FTkFCTEVE::ZGlzYWJsZV9tZXJnaW5n"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FQ0NfRFFfV0lEVEg=::RUNDX0RRX1dJRFRIXzA=::ZWNjX2RxX3dpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQVRQRw==::RElTQUJMRUQ=::ZW5hYmxlX2F0cGc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR19XUkFQQkFDSw==::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfd3JhcGJhY2s="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRFFTX1RSQUNLSU5H::RElTQUJMRUQ=::ZW5hYmxlX2Rxc190cmFja2luZw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRUNDX0NPREVfT1ZFUldSSVRFUw==::RElTQUJMRUQ=::ZW5hYmxlX2VjY19jb2RlX292ZXJ3cml0ZXM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRkFTVF9FWElUX1BQRA==::RElTQUJMRUQ=::ZW5hYmxlX2Zhc3RfZXhpdF9wcGQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfSU5UUg==::RElTQUJMRUQ=::ZW5hYmxlX2ludHI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfTk9fRE0=::RElTQUJMRUQ=::ZW5hYmxlX25vX2Rt"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfUElQRUxJTkVHTE9CQUw=::RElTQUJMRUQ=::ZW5hYmxlX3BpcGVsaW5lZ2xvYmFs"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9HQU5HRURfQVJG::RElTQUJMRUQ=::Z2FuZ2VkX2FyZg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fREJF::R0VOX0RCRV9ESVNBQkxFRA==::Z2VuX2RiZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fU0JF::R0VOX1NCRV9ESVNBQkxFRA==::Z2VuX3NiZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9JTkNfU1lOQw==::RklGT19TRVRfMg==::aW5jX3N5bmM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9MT0NBTF9JRl9DU19XSURUSA==::QUREUl9XSURUSF8y::bG9jYWxfaWZfY3Nfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0NPUlJfRFJPUFBFRF9JTlRS::RElTQUJMRUQ=::bWFza19jb3JyX2Ryb3BwZWRfaW50cg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0RCRV9JTlRS::RElTQUJMRUQ=::bWFza19kYmVfaW50cg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX1NCRV9JTlRS::RElTQUJMRUQ=::bWFza19zYmVfaW50cg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQUw=::QUxfMA==::bWVtX2lmX2Fs"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQkFOS0FERFJfV0lEVEg=::QUREUl9XSURUSF8z::bWVtX2lmX2JhbmthZGRyX3dpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQlVSU1RMRU5HVEg=::TUVNX0lGX0JVUlNUTEVOR1RIXzg=::bWVtX2lmX2J1cnN0bGVuZ3Ro"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ09MQUREUl9XSURUSA==::QUREUl9XSURUSF8xMg==::bWVtX2lmX2NvbGFkZHJfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfUEVSX1JBTks=::TUVNX0lGX0NTX1BFUl9SQU5LXzE=::bWVtX2lmX2NzX3Blcl9yYW5r"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfV0lEVEg=::TUVNX0lGX0NTX1dJRFRIXzE=::bWVtX2lmX2NzX3dpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFfUEVSX0NISVA=::TUVNX0lGX0RRX1BFUl9DSElQXzg=::bWVtX2lmX2RxX3Blcl9jaGlw"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFTX1dJRFRI::RFFTX1dJRFRIXzQ=::bWVtX2lmX2Rxc193aWR0aA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFdJRFRI::TUVNX0lGX0RXSURUSF8zMg==::bWVtX2lmX2R3aWR0aA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfTUVNVFlQRQ==::RERSM19TRFJBTQ==::bWVtX2lmX21lbXR5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfUk9XQUREUl9XSURUSA==::QUREUl9XSURUSF8xNg==::bWVtX2lmX3Jvd2FkZHJfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfU1BFRURCSU4=::RERSM18xMDY2XzZfNl82::bWVtX2lmX3NwZWVkYmlu"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENDRA==::VENDRF80::bWVtX2lmX3RjY2Q="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENM::VENMXzY=::bWVtX2lmX3RjbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENXTA==::VENXTF81::bWVtX2lmX3Rjd2w="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVEZBVw==::VEZBV18xNg==::bWVtX2lmX3RmYXc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJBUw==::VFJBU18xNg==::bWVtX2lmX3RyYXM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJD::VFJDXzIy::bWVtX2lmX3RyYw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJDRA==::VFJDRF82::bWVtX2lmX3RyY2Q="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJQ::VFJQXzY=::bWVtX2lmX3RycA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJSRA==::VFJSRF80::bWVtX2lmX3RycmQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJUUA==::VFJUUF80::bWVtX2lmX3RydHA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdS::VFdSXzY=::bWVtX2lmX3R3cg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdUUg==::VFdUUl80::bWVtX2lmX3R3dHI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NTVJfQ0ZHX01FTV9CTA==::TVBfQkxfOA==::bW1yX2NmZ19tZW1fYmw="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9PVVRQVVRfUkVHRA==::RElTQUJMRUQ=::b3V0cHV0X3JlZ2Q="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QRE5fRVhJVF9DWUNMRVM=::U0xPV19FWElU::cGRuX2V4aXRfY3ljbGVz"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8w::RkFMU0U=::cmRfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8x::RkFMU0U=::cmRfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8y::RkFMU0U=::cmRfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8z::RkFMU0U=::cmRfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRUFEX09EVF9DSElQ::T0RUX0RJU0FCTEVE::cmVhZF9vZHRfY2hpcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRU9SREVSX0RBVEE=::REFUQV9SRU9SREVSSU5H::cmVvcmRlcl9kYXRh"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMA==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMQ==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMg==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMw==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMg==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMw==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9URVNUX01PREU=::Tk9STUFMX01PREU=::dGVzdF9tb2Rl"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMg==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMw==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMg==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMw==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzA=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzE=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzI=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzM=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX0VDQ19FTg==::RElTQUJMRQ==::dXNlcl9lY2NfZW4="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8wX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8xX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8yX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8zX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8w::RkFMU0U=::d3JfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8x::RkFMU0U=::d3JfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8y::RkFMU0U=::d3JfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8z::RkFMU0U=::d3JfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUklURV9PRFRfQ0hJUA==::T0RUX0RJU0FCTEVE::d3JpdGVfb2R0X2NoaXA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQVVUT19QRF9DWUNMRVM=::MA==::bWVtX2F1dG9fcGRfY3ljbGVz"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMg==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMw==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1Q=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1RfRElGRl9CQU5L::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0X2RpZmZfYmFuaw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19QQ0g=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcGNo"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19SRFdS::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcmR3cg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfcGVyaW9k"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfdG9fdmFsaWQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0ZPVVJfQUNUX1RPX0FDVA==::MA==::ZXh0cmFfY3RsX2Nsa19mb3VyX2FjdF90b19hY3Q="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9BTExfVE9fVkFMSUQ=::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfYWxsX3RvX3ZhbGlk"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfdG9fdmFsaWQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fcGVyaW9k"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fdG9fdmFsaWQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa19yZF9hcF90b192YWxpZA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19wY2g="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0JD::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9iYw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fdmFsaWQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19aUV9DQUw=::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fenFfY2Fs"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa193cl9hcF90b192YWxpZA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19wY2g="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0JD::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9iYw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJFRkk=::MzEyMA==::bWVtX2lmX3RyZWZp"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJGQw==::MzQ=::bWVtX2lmX3RyZmM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BU0s=::MA==::YXR0cl9jb3VudGVyX29uZV9tYXNr"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BVENI::MA==::YXR0cl9jb3VudGVyX29uZV9tYXRjaA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVNL::MA==::YXR0cl9jb3VudGVyX3plcm9fbWFzaw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVRDSA==::MA==::YXR0cl9jb3VudGVyX3plcm9fbWF0Y2g="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0RFQlVHX1NFTEVDVF9CWVRF::MA==::YXR0cl9kZWJ1Z19zZWxlY3RfYnl0ZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19QT1dFUl9TQVZJTkdfRVhJVF9DWUNMRVM=::NQ==::cG93ZXJfc2F2aW5nX2V4aXRfY3ljbGVz"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQ0xLX0VOVFJZX0NZQ0xFUw==::MTA=::bWVtX2Nsa19lbnRyeV9jeWNsZXM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfSU5URVJSVVBU::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X2ludGVycnVwdA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfVEVSTUlOQVRF::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X3Rlcm1pbmF0ZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8wX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MA==::YXZfcG9ydF8wX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8wX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MA==::Y3ZfcG9ydF8wX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzA=::MA==::VEdfVEVNUF9QT1JUXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8xX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MQ==::YXZfcG9ydF8xX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8xX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MQ==::Y3ZfcG9ydF8xX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzE=::MA==::VEdfVEVNUF9QT1JUXzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8yX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mg==::YXZfcG9ydF8yX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8yX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mg==::Y3ZfcG9ydF8yX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzI=::MA==::VEdfVEVNUF9QT1JUXzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8zX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mw==::YXZfcG9ydF8zX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8zX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mw==::Y3ZfcG9ydF8zX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzM=::MA==::VEdfVEVNUF9QT1JUXzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF80X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NA==::YXZfcG9ydF80X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF80X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NA==::Y3ZfcG9ydF80X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzQ=::MA==::VEdfVEVNUF9QT1JUXzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF81X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NQ==::YXZfcG9ydF81X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF81X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NQ==::Y3ZfcG9ydF81X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzU=::MA==::VEdfVEVNUF9QT1JUXzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw=::ZmFsc2U=::Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYMTBfQ0ZH::dHJ1ZQ==::TUFYMTBfQ0ZH"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9XRVJfT0ZfVFdPX0JVUw==::ZmFsc2U=::R2VuZXJhdGUgcG93ZXItb2YtMiBkYXRhIGJ1cyB3aWR0aHMgZm9yIFFzeXMgb3IgU09QQyBCdWlsZGVy"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U09QQ19DT01QQVRfUkVTRVQ=::ZmFsc2U=::R2VuZXJhdGUgU09QQyBCdWlsZGVyIGNvbXBhdGlibGUgcmVzZXRz"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX01BWF9TSVpF::NA==::TWF4aW11bSBBdmFsb24tTU0gYnVyc3QgbGVuZ3Ro"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEU=::dHJ1ZQ==::RW5hYmxlIEF2YWxvbi1NTSBieXRlLWVuYWJsZSBzaWduYWw="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ==::dHJ1ZQ==::RW5hYmxlIEF2YWxvbiBpbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0RFRVBfUE9XRVJETl9FTg==::ZmFsc2U=::RW5hYmxlIERlZXAgUG93ZXItRG93biBDb250cm9scw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSF9FTg==::ZmFsc2U=::RW5hYmxlIFNlbGYtUmVmcmVzaCBDb250cm9scw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19QT1dFUkROX0VO::ZmFsc2U=::RW5hYmxlIEF1dG8gUG93ZXItRG93bg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNIX0VO::ZmFsc2U=::RW5hYmxlIFVzZXIgQXV0by1SZWZyZXNoIENvbnRyb2xz"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0FVVE9QQ0hfRU4=::ZmFsc2U=::RW5hYmxlIEF1dG8tUHJlY2hhcmdlIENvbnRyb2w="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1pRQ0FMX0VO::ZmFsc2U=::RW5hYmxlIFpRIENhbGlicmF0aW9uIENvbnRyb2w="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9PUkRFUg==::MA==::TG9jYWwtdG8tTWVtb3J5IEFkZHJlc3MgTWFwcGluZw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0xPT0tfQUhFQURfREVQVEg=::NA==::Q29tbWFuZCBRdWV1ZSBMb29rLUFoZWFkIERlcHRo"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9MQVRFTkNZ::NQ==::UmVkdWNlIENvbnRyb2xsZXIgTGF0ZW5jeSBCeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFT1JERVJfREFUQQ==::dHJ1ZQ==::RW5hYmxlIFJlb3JkZXJpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlZFX0xJTUlU::MTA=::U3RhcnZhdGlvbiBsaW1pdCBmb3IgZWFjaCBjb21tYW5k"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19FTkFCTEVE::ZmFsc2U=::RW5hYmxlIEVycm9yIERldGVjdGlvbiBhbmQgQ29ycmVjdGlvbiBMb2dpYw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0hSQl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIGhhbGYgcmF0ZSBicmlkZ2U="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVVMVElDQVNUX0VO::ZmFsc2U=::RW5hYmxlIE11bHRpLWNhc3QgV3JpdGUgQ29udHJvbA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19BTExPQ0FUSU9O::ZmFsc2U=::RW5hYmxlIHJlZHVjZWQgYmFuayB0cmFja2luZyBmb3IgYXJlYSBvcHRpbWl6YXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVCVUdfTU9ERQ==::ZmFsc2U=::RW5hYmxlIGludGVybmFsIGRlYnVnIHBhcmFtZXRlcg=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JVUlNUX01FUkdF::ZmFsc2U=::RW5hYmxlIGJ1cnN0IG1lcmdpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFQ=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IGludGVycnVwdA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEU=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IHRlcm1pbmF0ZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TE9DQUxfSURfV0lEVEg=::OA==::TG9jYWwgSUQgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRCVUZGRVJfQUREUl9XSURUSA==::Nw==::UmVhZCBidWZmZXIgYWRkcmVzcyB3aWR0aA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "V1JCVUZGRVJfQUREUl9XSURUSA==::Ng==::V3JpdGUgYnVmZmVyIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfV1JfQ01E::MTY=::TWF4IHBlbmRpbmcgd3JpdGUgY29tbWFuZHM="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkRfQ01E::MzI=::TWF4IHBlbmRpbmcgcmVhZCBjb21tYW5kcw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01NX0FEQVBUT1I=::dHJ1ZQ==::VXNlIEF2YWxvbiBNTSBBZGFwdG9y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FYSV9BREFQVE9S::ZmFsc2U=::VXNlIEFYSSBBZGFwdG9y"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RF::ZmFsc2U=::SGFyZENvcHkgQ29tcGF0aWJpbGl0eSBNb2Rl"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NNRF9RVUVVRV9ERVBUSA==::OA==::Q1RMX0NNRF9RVUVVRV9ERVBUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9SRUFEX09OTFk=::MQ==::Q1RMX0NTUl9SRUFEX09OTFk="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0RBVEFfUkVPUkRFUklOR19UWVBF::SU5URVJfQkFOSw==::UmVvcmRlcmluZyB0eXBl"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09GX1BPUlRT::MQ==::TnVtYmVyIG9mIHBvcnRz"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JPTkRJTkc=::ZmFsc2U=::RXhwb3J0IGJvbmRpbmcgaW50ZXJmYWNl"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1VTRVJfRUND::ZmFsc2U=::RXhwYW5kIEF2YWxvbi1NTSBkYXRhIGZvciBFQ0M="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVA==::MzIsMzIsMzIsMzIsMzIsMzI=::V2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVA==::MSwxLDEsMSwxLDE=::UHJpb3JpdHk="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlQ=::MCwwLDAsMCwwLDA=::V2VpZ2h0"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JU::QmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWwsQmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWw=::VHlwZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURQ==::SGFsZg==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::Mg==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::Mjg=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Ng==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::Mg==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::Mg==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::Mg==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::Mg==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::TUFYIDEw::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Ng==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::ZmFsc2U=::SEFSRF9QSFk="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::ZmFsc2U=::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Ng==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_NAME "YWx0X21lbV9kZHJ4X21tX3N0X2NvbnZlcnRlcg=="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIE5leHRnZW4gTWVtb3J5IENvbnRyb2xsZXIgTU0tU1QgQWRhcHRlcg=="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIE5leHRnZW4gTWVtb3J5IENvbnRyb2xsZXIgTU0tU1QgQWRhcHRlcg=="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX1NJWkVfV0lEVEg=::Mw==::QVZMX1NJWkVfV0lEVEg="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEg=::MjU=::QVZMX0FERFJfV0lEVEg="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEg=::MzI=::QVZMX0RBVEFfV0lEVEg="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "TE9DQUxfSURfV0lEVEg=::OA==::TE9DQUxfSURfV0lEVEg="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0RXSURUSF9SQVRJTw==::NA==::Q0ZHX0RXSURUSF9SQVRJTw=="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTg==::MzI=::TUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTg=="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX1NZTUJPTF9XSURUSA==::OA==::QVZMX1NZTUJPTF9XSURUSA=="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xT::NA==::QVZMX05VTV9TWU1CT0xT"
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0JZVEVfRU5BQkxF::dHJ1ZQ==::QVZMX0JZVEVfRU5BQkxF"
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0FVVE9QQ0hfRU4=::ZmFsc2U=::Q1RMX0FVVE9QQ0hfRU4="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVVMVElDQVNUX0VO::ZmFsc2U=::TVVMVElDQVNUX0VO"
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ==::dHJ1ZQ==::RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ=="
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19FTkFCTEVE::ZmFsc2U=::Q1RMX0VDQ19FTkFCTEVE"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_NAME "YWx0X21lbV9pZl9uZXh0Z2VuX2RkcjNfY29udHJvbGxlcl9jb3Jl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIEREUjMgTmV4dGdlbiBNZW1vcnkgQ29udHJvbGxlciBDb3Jl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIEREUjMgTmV4dGdlbiBNZW1vcnkgQ29udHJvbGxlciBDb3Jl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDAxMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMDAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDAxMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMDAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::ZmFsc2U=::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::MQ==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MA==::TVIxX1JUVA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MA==::TVIyX0NXTA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MQ==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTQ=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTQ=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MQ==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::dHJ1ZQ==::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MQ==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::OA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS4yNQ==::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTI1MC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTA=::TUVNX1RGQVc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mg==::TUVNX1RSUkQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::MTAw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::NQ==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::ODAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTQ=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::NQ==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::NQ==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTcw::dElTIChiYXNlKQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTIw::dElIIChiYXNlKQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NDU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTAw::dERRU1E="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjI1::dERRU0NL"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNw==::dERRU1M="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4xOA==::dERTSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4xOA==::dERTUw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzUuMA==::dFJBUw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNzU=::dFJDRA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNzU=::dFJQ"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Ng==::dFdUUg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzAuMA==::dEZBVw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ni4w::dFJSRA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NTX1dJRFRI::MQ==::Q1RMX0NTX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0JFX1dJRFRI::NA==::QVZMX0JFX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX1NZTUJPTF9XSURUSA==::OA==::QVZMX1NZTUJPTF9XSURUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xT::NA==::QVZMX05VTV9TWU1CT0xT"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX1NJWkVfV0lEVEg=::Mw==::QVZMX1NJWkVfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19DU1JfRU5BQkxFRA==::ZmFsc2U=::Q1RMX0VDQ19DU1JfRU5BQkxFRA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFdJRFRIX1JBVElP::NA==::RFdJRFRIX1JBVElP"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX09EVF9FTkFCTEVE::ZmFsc2U=::Q1RMX09EVF9FTkFCTEVE"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX09VVFBVVF9SRUdE::ZmFsc2U=::Q1RMX09VVFBVVF9SRUdE"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfNDBfNzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI=::MQ==::Q1RMX0VDQ19NVUxUSVBMRVNfMTZfMjRfNDBfNzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::Q1RMX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TE9XX0xBVEVOQ1k=::ZmFsc2U=::TE9XX0xBVEVOQ1k="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9UWVBF::bmV4dGdlbl92MTEw::Q09OVFJPTExFUl9UWVBF"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1RCUF9OVU0=::NA==::Q1RMX1RCUF9OVU0="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNI::MA==::Q1RMX1VTUl9SRUZSRVNI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSA==::MA==::Q1RMX1NFTEZfUkVGUkVTSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RZUEU=::Mg==::Q0ZHX1RZUEU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0lOVEVSRkFDRV9XSURUSA==::OA==::Q0ZHX0lOVEVSRkFDRV9XSURUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0JVUlNUX0xFTkdUSA==::OA==::Q0ZHX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0FERFJfT1JERVI=::MA==::Q0ZHX0FERFJfT1JERVI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BETl9FWElUX0NZQ0xFUw==::MTA=::Q0ZHX1BETl9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw==::NQ==::Q0ZHX1BPV0VSX1NBVklOR19FWElUX0NZQ0xFUw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT::MjA=::Q0ZHX01FTV9DTEtfRU5UUllfQ1lDTEVT"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw==::NTEy::Q0ZHX1NFTEZfUkZTSF9FWElUX0NZQ0xFUw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA=::MQ==::Q0ZHX1BPUlRfV0lEVEhfV1JJVEVfT0RUX0NISVA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA==::MQ==::Q0ZHX1BPUlRfV0lEVEhfUkVBRF9PRFRfQ0hJUA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1dSSVRFX09EVF9DSElQ::MQ==::Q0ZHX1dSSVRFX09EVF9DSElQ"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFQURfT0RUX0NISVA=::MA==::Q0ZHX1JFQURfT0RUX0NISVA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TE9DQUxfQ1NfV0lEVEg=::MA==::TE9DQUxfQ1NfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0NMUl9JTlRS::MA==::Q0ZHX0NMUl9JTlRS"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0VOQUJMRV9OT19ETQ==::MA==::Q0ZHX0VOQUJMRV9OT19ETQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FERF9MQVQ=::MA==::TUVNX0FERF9MQVQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFRfSU5U"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U::ZmFsc2U=::Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEVfSU5U"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0VSUkNNRF9GSUZPX1JFRw==::MA==::Q0ZHX0VSUkNNRF9GSUZPX1JFRw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0VDQ19ERUNPREVSX1JFRw==::MA==::Q0ZHX0VDQ19ERUNPREVSX1JFRw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k=::ZmFsc2U=::Q1RMX0VOQUJMRV9XREFUQV9QQVRIX0xBVEVOQ1k="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1NUQVJWRV9MSU1JVA==::MTA=::Q0ZHX1NUQVJWRV9MSU1JVA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fUERfQ1lDTEVT::MA==::TUVNX0FVVE9fUERfQ1lDTEVT"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8w::MA==::UFJJT1JJVFlfUE9SVF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMA==::MA==::V0VJR0hUX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMA==::NQ==::TFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMA==::NQ==::TVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMA==::NQ==::TFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMA==::NQ==::TVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8x::MA==::UFJJT1JJVFlfUE9SVF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMQ==::MA==::V0VJR0hUX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMQ==::NQ==::TFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMQ==::NQ==::TVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMQ==::NQ==::TFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMQ==::NQ==::TVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8y::MA==::UFJJT1JJVFlfUE9SVF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMg==::MA==::V0VJR0hUX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMg==::NQ==::TFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMg==::NQ==::TVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMg==::NQ==::TFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMg==::NQ==::TVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF8z::MA==::UFJJT1JJVFlfUE9SVF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfMw==::MA==::V0VJR0hUX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfMw==::NQ==::TFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfMw==::NQ==::TVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfMw==::NQ==::TFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfMw==::NQ==::TVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF80::MA==::UFJJT1JJVFlfUE9SVF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNA==::MA==::V0VJR0hUX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNA==::NQ==::TFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNA==::NQ==::TVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNA==::NQ==::TFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNA==::NQ==::TVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::QVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::QVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVF81::MA==::UFJJT1JJVFlfUE9SVF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlRfNQ==::MA==::V0VJR0hUX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::QVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1dGSUZPX1BPUlRfNQ==::NQ==::TFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1dGSUZPX1BPUlRfNQ==::NQ==::TVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFNCX1JGSUZPX1BPUlRfNQ==::NQ==::TFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVNCX1JGSUZPX1BPUlRfNQ==::NQ==::TVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1JGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFJlYWQtRGF0YSBGSUZP"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxMT0NBVEVEX1dGSUZPX1BPUlQ=::MCxOb25lLE5vbmUsTm9uZSxOb25lLE5vbmU=::QWxsb2NhdGVkIFdyaXRlLURhdGEgRklGTw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfT05FX1JFU0VU::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX29uZV9yZXNldA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX0NPVU5URVJfWkVST19SRVNFVA==::RElTQUJMRUQ=::YXR0cl9jb3VudGVyX3plcm9fcmVzZXQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVFRSX1NUQVRJQ19DT05GSUdfVkFMSUQ=::RElTQUJMRUQ=::YXR0cl9zdGF0aWNfY29uZmlnX3ZhbGlk"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DQUxfUkVR::RElTQUJMRUQ=::Y2FsX3JlcQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfQlVSU1RfTEVOR1RI::QkxfOA==::Y2ZnX2J1cnN0X2xlbmd0aA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfSU5URVJGQUNFX1dJRFRI::RFdJRFRIXzMy::Y2ZnX2ludGVyZmFjZV93aWR0aA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfU1RBUlZFX0xJTUlU::U1RBUlZFX0xJTUlUXzMy::Y2ZnX3N0YXJ2ZV9saW1pdA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DRkdfVFlQRQ==::RERSMw==::Y2ZnX3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMg==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfMw==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNA==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTE9DS19PRkZfNQ==::RElTQUJMRUQ=::Y2xvY2tfb2ZmXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTFJfSU5UUg==::Tk9fQ0xSX0lOVFI=::Y2xyX2ludHI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQwX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQxX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQyX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQzX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ0X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::Y3BvcnQ1X3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfQUREUl9PUkRFUg==::Q0hJUF9CQU5LX1JPV19DT0w=::Y3RsX2FkZHJfb3JkZXI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX0VOQUJMRUQ=::Q1RMX0VDQ19ESVNBQkxFRA==::Y3RsX2VjY19lbmFibGVk"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfRUNDX1JNV19FTkFCTEVE::Q1RMX0VDQ19STVdfRElTQUJMRUQ=::Y3RsX2VjY19ybXdfZW5hYmxlZA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfUkVHRElNTV9FTkFCTEVE::UkVHRElNTV9ESVNBQkxFRA==::Y3RsX3JlZ2RpbW1fZW5hYmxlZA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVExfVVNSX1JFRlJFU0g=::Q1RMX1VTUl9SRUZSRVNIX0RJU0FCTEVE::Y3RsX3Vzcl9yZWZyZXNo"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9DVFJMX1dJRFRI::REFUQV9XSURUSF82NF9CSVQ=::Y3RybF93aWR0aA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9ERUxBWV9CT05ESU5H::Qk9ORElOR19MQVRFTkNZXzA=::ZGVsYXlfYm9uZGluZw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9ERlhfQllQQVNTX0VOQUJMRQ==::REZYX0JZUEFTU19ESVNBQkxFRA==::ZGZ4X2J5cGFzc19lbmFibGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9ESVNBQkxFX01FUkdJTkc=::TUVSR0lOR19FTkFCTEVE::ZGlzYWJsZV9tZXJnaW5n"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FQ0NfRFFfV0lEVEg=::RUNDX0RRX1dJRFRIXzA=::ZWNjX2RxX3dpZHRo"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQVRQRw==::RElTQUJMRUQ=::ZW5hYmxlX2F0cGc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQk9ORElOR19XUkFQQkFDSw==::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfd3JhcGJhY2s="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRFFTX1RSQUNLSU5H::RElTQUJMRUQ=::ZW5hYmxlX2Rxc190cmFja2luZw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRUNDX0NPREVfT1ZFUldSSVRFUw==::RElTQUJMRUQ=::ZW5hYmxlX2VjY19jb2RlX292ZXJ3cml0ZXM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfRkFTVF9FWElUX1BQRA==::RElTQUJMRUQ=::ZW5hYmxlX2Zhc3RfZXhpdF9wcGQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfSU5UUg==::RElTQUJMRUQ=::ZW5hYmxlX2ludHI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfTk9fRE0=::RElTQUJMRUQ=::ZW5hYmxlX25vX2Rt"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfUElQRUxJTkVHTE9CQUw=::RElTQUJMRUQ=::ZW5hYmxlX3BpcGVsaW5lZ2xvYmFs"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9HQU5HRURfQVJG::RElTQUJMRUQ=::Z2FuZ2VkX2FyZg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fREJF::R0VOX0RCRV9ESVNBQkxFRA==::Z2VuX2RiZQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9HRU5fU0JF::R0VOX1NCRV9ESVNBQkxFRA==::Z2VuX3NiZQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9JTkNfU1lOQw==::RklGT19TRVRfMg==::aW5jX3N5bmM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9MT0NBTF9JRl9DU19XSURUSA==::QUREUl9XSURUSF8y::bG9jYWxfaWZfY3Nfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0NPUlJfRFJPUFBFRF9JTlRS::RElTQUJMRUQ=::bWFza19jb3JyX2Ryb3BwZWRfaW50cg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX0RCRV9JTlRS::RElTQUJMRUQ=::bWFza19kYmVfaW50cg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NQVNLX1NCRV9JTlRS::RElTQUJMRUQ=::bWFza19zYmVfaW50cg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQUw=::QUxfMA==::bWVtX2lmX2Fs"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQkFOS0FERFJfV0lEVEg=::QUREUl9XSURUSF8z::bWVtX2lmX2JhbmthZGRyX3dpZHRo"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQlVSU1RMRU5HVEg=::TUVNX0lGX0JVUlNUTEVOR1RIXzg=::bWVtX2lmX2J1cnN0bGVuZ3Ro"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ09MQUREUl9XSURUSA==::QUREUl9XSURUSF8xMg==::bWVtX2lmX2NvbGFkZHJfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfUEVSX1JBTks=::TUVNX0lGX0NTX1BFUl9SQU5LXzE=::bWVtX2lmX2NzX3Blcl9yYW5r"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfQ1NfV0lEVEg=::TUVNX0lGX0NTX1dJRFRIXzE=::bWVtX2lmX2NzX3dpZHRo"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFfUEVSX0NISVA=::TUVNX0lGX0RRX1BFUl9DSElQXzg=::bWVtX2lmX2RxX3Blcl9jaGlw"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFFTX1dJRFRI::RFFTX1dJRFRIXzQ=::bWVtX2lmX2Rxc193aWR0aA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfRFdJRFRI::TUVNX0lGX0RXSURUSF8zMg==::bWVtX2lmX2R3aWR0aA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfTUVNVFlQRQ==::RERSM19TRFJBTQ==::bWVtX2lmX21lbXR5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfUk9XQUREUl9XSURUSA==::QUREUl9XSURUSF8xNg==::bWVtX2lmX3Jvd2FkZHJfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfU1BFRURCSU4=::RERSM18xMDY2XzZfNl82::bWVtX2lmX3NwZWVkYmlu"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENDRA==::VENDRF80::bWVtX2lmX3RjY2Q="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENM::VENMXzY=::bWVtX2lmX3RjbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVENXTA==::VENXTF81::bWVtX2lmX3Rjd2w="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVEZBVw==::VEZBV18xNg==::bWVtX2lmX3RmYXc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJBUw==::VFJBU18xNg==::bWVtX2lmX3RyYXM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJD::VFJDXzIy::bWVtX2lmX3RyYw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJDRA==::VFJDRF82::bWVtX2lmX3RyY2Q="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJQ::VFJQXzY=::bWVtX2lmX3RycA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJSRA==::VFJSRF80::bWVtX2lmX3RycmQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFJUUA==::VFJUUF80::bWVtX2lmX3RydHA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdS::VFdSXzY=::bWVtX2lmX3R3cg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NRU1fSUZfVFdUUg==::VFdUUl80::bWVtX2lmX3R3dHI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9NTVJfQ0ZHX01FTV9CTA==::TVBfQkxfOA==::bW1yX2NmZ19tZW1fYmw="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9PVVRQVVRfUkVHRA==::RElTQUJMRUQ=::b3V0cHV0X3JlZ2Q="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QRE5fRVhJVF9DWUNMRVM=::U0xPV19FWElU::cGRuX2V4aXRfY3ljbGVz"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8w::RkFMU0U=::cmRfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8x::RkFMU0U=::cmRfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8y::RkFMU0U=::cmRfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9GSUZPX0lOX1VTRV8z::RkFMU0U=::cmRfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRUFEX09EVF9DSElQ::T0RUX0RJU0FCTEVE::cmVhZF9vZHRfY2hpcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRU9SREVSX0RBVEE=::REFUQV9SRU9SREVSSU5H::cmVvcmRlcl9kYXRh"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMA==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMQ==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMg==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TSU5HTEVfUkVBRFlfMw==::Q09OQ0FURU5BVEVfUkRZ::c2luZ2xlX3JlYWR5XzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMg==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfMw==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNA==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9TWU5DX01PREVfNQ==::QVNZTkNIUk9OT1VT::c3luY19tb2RlXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9URVNUX01PREU=::Tk9STUFMX01PREU=::dGVzdF9tb2Rl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMg==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfMw==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNA==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjFfNQ==::VEhSRVNIT0xEXzMy::dGhsZF9qYXIxXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMg==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfMw==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNA==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9USExEX0pBUjJfNQ==::VEhSRVNIT0xEXzE2::dGhsZF9qYXIyXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzA=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzE=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzI=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VfQUxNT1NUX0VNUFRZXzM=::RU1QVFk=::dXNlX2FsbW9zdF9lbXB0eV8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX0VDQ19FTg==::RElTQUJMRQ==::dXNlcl9lY2NfZW4="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzBfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8wX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzFfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8xX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzJfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8yX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XRklGTzNfUkRZX0FMTU9TVF9GVUxM::Tk9UX0ZVTEw=::d2ZpZm8zX3JkeV9hbG1vc3RfZnVsbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8w::RkFMU0U=::d3JfZmlmb19pbl91c2VfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8x::RkFMU0U=::d3JfZmlmb19pbl91c2VfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8y::RkFMU0U=::d3JfZmlmb19pbl91c2VfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9GSUZPX0lOX1VTRV8z::RkFMU0U=::d3JfZmlmb19pbl91c2VfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9XUklURV9PRFRfQ0hJUA==::T0RUX0RJU0FCTEVE::d3JpdGVfb2R0X2NoaXA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQVVUT19QRF9DWUNMRVM=::MA==::bWVtX2F1dG9fcGRfY3ljbGVz"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMg==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfMw==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNA==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19DWUNfVE9fUkxEX0pBUlNfNQ==::MQ==::Y3ljX3RvX3JsZF9qYXJzXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1Q=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19BQ1RfRElGRl9CQU5L::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fYWN0X2RpZmZfYmFuaw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19QQ0g=::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcGNo"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FDVF9UT19SRFdS::MA==::ZXh0cmFfY3RsX2Nsa19hY3RfdG9fcmR3cg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfcGVyaW9k"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0FSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19hcmZfdG9fdmFsaWQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX0ZPVVJfQUNUX1RPX0FDVA==::MA==::ZXh0cmFfY3RsX2Nsa19mb3VyX2FjdF90b19hY3Q="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9BTExfVE9fVkFMSUQ=::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfYWxsX3RvX3ZhbGlk"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BDSF9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wY2hfdG9fdmFsaWQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9QRVJJT0Q=::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fcGVyaW9k"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1BETl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19wZG5fdG9fdmFsaWQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa19yZF9hcF90b192YWxpZA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19wY2g="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0JD::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9iYw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1JEX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa19yZF90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19WQUxJRA==::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fdmFsaWQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1NSRl9UT19aUV9DQUw=::MA==::ZXh0cmFfY3RsX2Nsa19zcmZfdG9fenFfY2Fs"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX0FQX1RPX1ZBTElE::MA==::ZXh0cmFfY3RsX2Nsa193cl9hcF90b192YWxpZA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1BDSA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19wY2g="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JE::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0JD::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9iYw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1JEX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b19yZF9kaWZmX2NoaXA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dS::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19FWFRSQV9DVExfQ0xLX1dSX1RPX1dSX0RJRkZfQ0hJUA==::MA==::ZXh0cmFfY3RsX2Nsa193cl90b193cl9kaWZmX2NoaXA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJFRkk=::MzEyMA==::bWVtX2lmX3RyZWZp"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fSUZfVFJGQw==::MzQ=::bWVtX2lmX3RyZmM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BU0s=::MA==::YXR0cl9jb3VudGVyX29uZV9tYXNr"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfT05FX01BVENI::MA==::YXR0cl9jb3VudGVyX29uZV9tYXRjaA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVNL::MA==::YXR0cl9jb3VudGVyX3plcm9fbWFzaw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0NPVU5URVJfWkVST19NQVRDSA==::MA==::YXR0cl9jb3VudGVyX3plcm9fbWF0Y2g="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkVDVF9BVFRSX0RFQlVHX1NFTEVDVF9CWVRF::MA==::YXR0cl9kZWJ1Z19zZWxlY3RfYnl0ZQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19QT1dFUl9TQVZJTkdfRVhJVF9DWUNMRVM=::NQ==::cG93ZXJfc2F2aW5nX2V4aXRfY3ljbGVz"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5UR19NRU1fQ0xLX0VOVFJZX0NZQ0xFUw==::MTA=::bWVtX2Nsa19lbnRyeV9jeWNsZXM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfSU5URVJSVVBU::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X2ludGVycnVwdA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5VTV9FTkFCTEVfQlVSU1RfVEVSTUlOQVRF::RElTQUJMRUQ=::ZW5hYmxlX2J1cnN0X3Rlcm1pbmF0ZQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8wX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MA==::YXZfcG9ydF8wX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8wX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MA==::Y3ZfcG9ydF8wX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQwX3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDBfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQwX3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18w::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDBfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzA=::V0VJR0hUXzA=::cHJpb3JpdHlfM18w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzA=::V0VJR0hUXzA=::cHJpb3JpdHlfN18w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMA==::VVNFX05P::cmRfcG9ydF9pbmZvXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzA=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzA=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8w"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzA=::MA==::VEdfVEVNUF9QT1JUXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8xX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::MQ==::YXZfcG9ydF8xX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8xX0NPTk5FQ1RfVE9fQVZfUE9SVA==::MQ==::Y3ZfcG9ydF8xX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQxX3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDFfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQxX3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18x::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDFfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzE=::V0VJR0hUXzA=::cHJpb3JpdHlfM18x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzE=::V0VJR0hUXzA=::cHJpb3JpdHlfN18x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzE=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzE=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8x"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzE=::MA==::VEdfVEVNUF9QT1JUXzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8yX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mg==::YXZfcG9ydF8yX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8yX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mg==::Y3ZfcG9ydF8yX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMg==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMg==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMg==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQyX3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDJfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQyX3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18y::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUMl9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDJfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzI=::V0VJR0hUXzA=::cHJpb3JpdHlfM18y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzI=::V0VJR0hUXzA=::cHJpb3JpdHlfN18y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMg==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMg==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMg==::RFdJRFRIXzA=::cmRfZHdpZHRoXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMg==::VVNFX05P::cmRfcG9ydF9pbmZvXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzI=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzI=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMg==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMg==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzI=::MA==::VEdfVEVNUF9QT1JUXzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF8zX0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::Mw==::YXZfcG9ydF8zX2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF8zX0NPTk5FQ1RfVE9fQVZfUE9SVA==::Mw==::Y3ZfcG9ydF8zX2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfMw==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfMw==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfMw==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQzX3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDNfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQzX3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR18z::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUM19XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDNfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMV8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfMl8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzM=::V0VJR0hUXzA=::cHJpb3JpdHlfM18z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNV8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfNl8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzM=::V0VJR0hUXzA=::cHJpb3JpdHlfN18z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfMw==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfMw==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfMw==::RFdJRFRIXzA=::cmRfZHdpZHRoXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fMw==::VVNFX05P::cmRfcG9ydF9pbmZvXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzM=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzM=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV8z"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfMw==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fMw==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzM=::MA==::VEdfVEVNUF9QT1JUXzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF80X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NA==::YXZfcG9ydF80X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF80X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NA==::Y3ZfcG9ydF80X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNA==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNA==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNA==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ0X3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDRfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ0X3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR180::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNF9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDRfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMV80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfMl80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfM180"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNV80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfNl80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzQ=::V0VJR0hUXzA=::cHJpb3JpdHlfN180"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNA==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNA==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNA==::RFdJRFRIXzA=::cmRfZHdpZHRoXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNA==::VVNFX05P::cmRfcG9ydF9pbmZvXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzQ=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzQ=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV80"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNA==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNA==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzQ=::MA==::VEdfVEVNUF9QT1JUXzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZfUE9SVF81X0NPTk5FQ1RfVE9fQ1ZfUE9SVA==::NQ==::YXZfcG9ydF81X2Nvbm5lY3RfdG9fY3ZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfUE9SVF81X0NPTk5FQ1RfVE9fQVZfUE9SVA==::NQ==::Y3ZfcG9ydF81X2Nvbm5lY3RfdG9fYXZfcG9ydA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0RBVEFfV0lEVEhfUE9SVF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81::MA==::Q1ZfQVZMX0FERFJfV0lEVEhfUE9SVF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU=::MA==::Q1ZfQ1BPUlRfVFlQRV9QT1JUXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ==::Mg==::Q1ZfQVZMX05VTV9TWU1CT0xTX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1dGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1dGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTFNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTFNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfTVNCX1JGSUZPX1BPUlRfNQ==::NQ==::Q1ZfTVNCX1JGSUZPX1BPUlRfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9BVVRPX1BDSF9FTkFCTEVfNQ==::RElTQUJMRUQ=::YXV0b19wY2hfZW5hYmxlXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DTURfUE9SVF9JTl9VU0VfNQ==::RkFMU0U=::Y21kX3BvcnRfaW5fdXNlXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfUkZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3JmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfVFlQRQ==::RElTQUJMRQ==::Y3BvcnQ1X3R5cGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9DUE9SVDVfV0ZJRk9fTUFQ::RklGT18w::Y3BvcnQ1X3dmaWZvX21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9FTkFCTEVfQk9ORElOR181::RElTQUJMRUQ=::ZW5hYmxlX2JvbmRpbmdfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QT1JUNV9XSURUSA==::UE9SVF82NF9CSVQ=::cG9ydDVfd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8wXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8xXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMV81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8yXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfMl81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV8zXzU=::V0VJR0hUXzA=::cHJpb3JpdHlfM181"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV80XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV81XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNV81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV82XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfNl81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9QUklPUklUWV83XzU=::V0VJR0hUXzA=::cHJpb3JpdHlfN181"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1NUQVRJQ19XRUlHSFRfNQ==::V0VJR0hUXzA=::cmNmZ19zdGF0aWNfd2VpZ2h0XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SQ0ZHX1VTRVJfUFJJT1JJVFlfNQ==::UFJJT1JJVFlfMA==::cmNmZ191c2VyX3ByaW9yaXR5XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9EV0lEVEhfNQ==::RFdJRFRIXzA=::cmRfZHdpZHRoXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRF9QT1JUX0lORk9fNQ==::VVNFX05P::cmRfcG9ydF9pbmZvXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9TVEFUSUNfV0VJR0hUXzU=::V0VJR0hUXzA=::c3RhdGljX3dlaWdodF81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9VU0VSX1BSSU9SSVRZXzU=::UFJJT1JJVFlfMA==::dXNlcl9wcmlvcml0eV81"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9EV0lEVEhfNQ==::RFdJRFRIXzA=::d3JfZHdpZHRoXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XUl9QT1JUX0lORk9fNQ==::VVNFX05P::d3JfcG9ydF9pbmZvXzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEdfVEVNUF9QT1JUXzU=::MA==::VEdfVEVNUF9QT1JUXzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzBfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8wX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzFfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8xX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzJfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8yX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9SRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::cmZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfRU5VTV9XRklGTzNfQ1BPUlRfTUFQ::Q01EX1BPUlRfMA==::d2ZpZm8zX2Nwb3J0X21hcA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8w::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMA==::MA==::c3VtX3d0X3ByaW9yaXR5XzA="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8x::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzE="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8y::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMg==::MA==::c3VtX3d0X3ByaW9yaXR5XzI="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV8z::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfMw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfMw==::MA==::c3VtX3d0X3ByaW9yaXR5XzM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV80::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNA==::MA==::c3VtX3d0X3ByaW9yaXR5XzQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV81::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNQ==::MA==::c3VtX3d0X3ByaW9yaXR5XzU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV82::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNg==::MA==::c3VtX3d0X3ByaW9yaXR5XzY="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19SQ0ZHX1NVTV9XVF9QUklPUklUWV83::MA==::cmNmZ19zdW1fd3RfcHJpb3JpdHlfNw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1ZfSU5UR19TVU1fV1RfUFJJT1JJVFlfNw==::MA==::c3VtX3d0X3ByaW9yaXR5Xzc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw=::ZmFsc2U=::Q09OVElOVUVfQUZURVJfQ0FMX0ZBSUw="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYMTBfQ0ZH::dHJ1ZQ==::TUFYMTBfQ0ZH"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9XRVJfT0ZfVFdPX0JVUw==::ZmFsc2U=::R2VuZXJhdGUgcG93ZXItb2YtMiBkYXRhIGJ1cyB3aWR0aHMgZm9yIFFzeXMgb3IgU09QQyBCdWlsZGVy"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "U09QQ19DT01QQVRfUkVTRVQ=::ZmFsc2U=::R2VuZXJhdGUgU09QQyBCdWlsZGVyIGNvbXBhdGlibGUgcmVzZXRz"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX01BWF9TSVpF::NA==::TWF4aW11bSBBdmFsb24tTU0gYnVyc3QgbGVuZ3Ro"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QllURV9FTkFCTEU=::dHJ1ZQ==::RW5hYmxlIEF2YWxvbi1NTSBieXRlLWVuYWJsZSBzaWduYWw="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NUUkxfQVZBTE9OX0lOVEVSRkFDRQ==::dHJ1ZQ==::RW5hYmxlIEF2YWxvbiBpbnRlcmZhY2U="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0RFRVBfUE9XRVJETl9FTg==::ZmFsc2U=::RW5hYmxlIERlZXAgUG93ZXItRG93biBDb250cm9scw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1NFTEZfUkVGUkVTSF9FTg==::ZmFsc2U=::RW5hYmxlIFNlbGYtUmVmcmVzaCBDb250cm9scw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19QT1dFUkROX0VO::ZmFsc2U=::RW5hYmxlIEF1dG8gUG93ZXItRG93bg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1VTUl9SRUZSRVNIX0VO::ZmFsc2U=::RW5hYmxlIFVzZXIgQXV0by1SZWZyZXNoIENvbnRyb2xz"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0FVVE9QQ0hfRU4=::ZmFsc2U=::RW5hYmxlIEF1dG8tUHJlY2hhcmdlIENvbnRyb2w="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1pRQ0FMX0VO::ZmFsc2U=::RW5hYmxlIFpRIENhbGlicmF0aW9uIENvbnRyb2w="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9PUkRFUg==::MA==::TG9jYWwtdG8tTWVtb3J5IEFkZHJlc3MgTWFwcGluZw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0xPT0tfQUhFQURfREVQVEg=::NA==::Q29tbWFuZCBRdWV1ZSBMb29rLUFoZWFkIERlcHRo"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09OVFJPTExFUl9MQVRFTkNZ::NQ==::UmVkdWNlIENvbnRyb2xsZXIgTGF0ZW5jeSBCeQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1JFT1JERVJfREFUQQ==::dHJ1ZQ==::RW5hYmxlIFJlb3JkZXJpbmc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1RBUlZFX0xJTUlU::MTA=::U3RhcnZhdGlvbiBsaW1pdCBmb3IgZWFjaCBjb21tYW5k"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VDQ19FTkFCTEVE::ZmFsc2U=::RW5hYmxlIEVycm9yIERldGVjdGlvbiBhbmQgQ29ycmVjdGlvbiBMb2dpYw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0hSQl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIGhhbGYgcmF0ZSBicmlkZ2U="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVVMVElDQVNUX0VO::ZmFsc2U=::RW5hYmxlIE11bHRpLWNhc3QgV3JpdGUgQ29udHJvbA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0RZTkFNSUNfQkFOS19BTExPQ0FUSU9O::ZmFsc2U=::RW5hYmxlIHJlZHVjZWQgYmFuayB0cmFja2luZyBmb3IgYXJlYSBvcHRpbWl6YXRpb24="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVCVUdfTU9ERQ==::ZmFsc2U=::RW5hYmxlIGludGVybmFsIGRlYnVnIHBhcmFtZXRlcg=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JVUlNUX01FUkdF::ZmFsc2U=::RW5hYmxlIGJ1cnN0IG1lcmdpbmc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9JTlRFUlJVUFQ=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IGludGVycnVwdA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0VOQUJMRV9CVVJTVF9URVJNSU5BVEU=::ZmFsc2U=::RW5hYmxlIGJ1cnN0IHRlcm1pbmF0ZQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TE9DQUxfSURfV0lEVEg=::OA==::TG9jYWwgSUQgd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRCVUZGRVJfQUREUl9XSURUSA==::Nw==::UmVhZCBidWZmZXIgYWRkcmVzcyB3aWR0aA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "V1JCVUZGRVJfQUREUl9XSURUSA==::Ng==::V3JpdGUgYnVmZmVyIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfV1JfQ01E::MTY=::TWF4IHBlbmRpbmcgd3JpdGUgY29tbWFuZHM="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1BFTkRJTkdfUkRfQ01E::MzI=::TWF4IHBlbmRpbmcgcmVhZCBjb21tYW5kcw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01NX0FEQVBUT1I=::dHJ1ZQ==::VXNlIEF2YWxvbiBNTSBBZGFwdG9y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FYSV9BREFQVE9S::ZmFsc2U=::VXNlIEFYSSBBZGFwdG9y"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RF::ZmFsc2U=::SGFyZENvcHkgQ29tcGF0aWJpbGl0eSBNb2Rl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NNRF9RVUVVRV9ERVBUSA==::OA==::Q1RMX0NNRF9RVUVVRV9ERVBUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX0NTUl9SRUFEX09OTFk=::MQ==::Q1RMX0NTUl9SRUFEX09OTFk="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX0RBVEFfUkVPUkRFUklOR19UWVBF::SU5URVJfQkFOSw==::UmVvcmRlcmluZyB0eXBl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX09GX1BPUlRT::MQ==::TnVtYmVyIG9mIHBvcnRz"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0JPTkRJTkc=::ZmFsc2U=::RXhwb3J0IGJvbmRpbmcgaW50ZXJmYWNl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1VTRVJfRUND::ZmFsc2U=::RXhwYW5kIEF2YWxvbi1NTSBkYXRhIGZvciBFQ0M="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEhfUE9SVA==::MzIsMzIsMzIsMzIsMzIsMzI=::V2lkdGg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJJT1JJVFlfUE9SVA==::MSwxLDEsMSwxLDE=::UHJpb3JpdHk="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0VJR0hUX1BPUlQ=::MCwwLDAsMCwwLDA=::V2VpZ2h0"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1BPUlRfVFlQRV9QT1JU::QmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWwsQmlkaXJlY3Rpb25hbCxCaWRpcmVjdGlvbmFsLEJpZGlyZWN0aW9uYWw=::VHlwZQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURQ==::SGFsZg==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::Mg==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::Mjg=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Ng==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::Mg==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::Mg==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::Mg==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::Mg==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::TUFYIDEw::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Ng==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::ZmFsc2U=::SEFSRF9QSFk="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::ZmFsc2U=::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZGRyM19yYW1fczA="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "RERSMyBTRFJBTSBRc3lzIFNlcXVlbmNlcg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "RERSMyBTRFJBTSBRc3lzIFNlcXVlbmNlcg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDAxMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMDAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDAxMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMDAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::ZmFsc2U=::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::MQ==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MA==::TVIxX1JUVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MA==::TVIyX0NXTA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MQ==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTQ=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTQ=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MQ==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::dHJ1ZQ==::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MQ==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::OA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS4yNQ==::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTI1MC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTA=::TUVNX1RGQVc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mg==::TUVNX1RSUkQ="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::MTAw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::NQ==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::ZmFsc2U=::RkxZX0JZ"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::ODAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTQ=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::NQ==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::NQ==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTcw::dElTIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTIw::dElIIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NDU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTAw::dERRU1E="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjI1::dERRU0NL"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNw==::dERRU1M="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4xOA==::dERTSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4xOA==::dERTUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzUuMA==::dFJBUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNzU=::dFJDRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNzU=::dFJQ"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Ng==::dFdUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzAuMA==::dEZBVw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ni4w::dFJSRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::ZmFsc2U=::R0VORVJJQ19QTEw="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::dHJ1ZQ==::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::dHJ1ZQ==::UExMX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::dHJ1ZQ==::RExMX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MTkx::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::ZmFsc2U=::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::ZmFsc2U=::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::ZmFsc2U=::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NQ==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MzAwLjA=::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfUkFURQ==::SEFMRg==::VEJfUkFURQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::OA==::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::MTAwLjA=::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1NUUg==::MTAwLjAgTUh6::UkVGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MTAuMA==::UkVGX0NMS19OUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MTAwMDAuMA==::UkVGX0NMS19QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0RSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX01FTV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::Mw==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MQ==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MTUwLjA=::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TVFI=::MTUwLjAgTUh6::UExMX0FGSV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::Mw==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::Mg==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX1dSSVRFX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::Mw==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MQ==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI=::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::MC4w::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg==::MCBwcw==::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::MA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::MA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::MA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MA==::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0hSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::Mw==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MQ==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::Mw==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MQ==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MTUwLjA=::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::Mw==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::Mg==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MTUwLjA=::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::Mw==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::Mg==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::Mw==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MQ==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MA==::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MA==::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MC4w::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::MC4w::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::VW5rbm93bg==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::VW5rbm93bg==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::VW5rbm93bg==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklYX1JFQURfTEFURU5DWQ==::OA==::Rml4ZWQgUmVhZCBMYXRlbmN5"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MQ==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MA==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::NA==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MQ==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MQ==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::ZmFsc2U=::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::MQ==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::Mg==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::NA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::Mg==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::OTAwMA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::Mw==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::Mw==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::Nw==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MTQ=::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::My4zMzM=::TUVNX0NMS19OUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MzMzMy4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::NA==::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::MTI=::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::NDE2::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::NTA=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::NTA=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::Nw==::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::MTU=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::MTU=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::MTU=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::Nw==::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fU1RBTkRBUkQ=::U1NUTC0xNQ==::SS9PIHN0YW5kYXJk"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::dHJ1ZQ==::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19IRVhfRklMRV9MT0NBVElPTg==::Li4v::TklPU19IRVhfRklMRV9MT0NBVElPTg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::dHJ1ZQ==::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::NQ==::TUVNX1RfV0w="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::NQ==::TUVNX1RfUkw="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::ZmFsc2U=::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::dHJ1ZQ==::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX09OTFk=::ZmFsc2U=::R2VuZXJhdGUgUEhZIG9ubHk="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::U2tpcA==::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4zMg==::dElT"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4yMg==::dElI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4xNg==::dERT"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4xNDU=::dERI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC4yNDQz::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC4yMTkyOQ==::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::MC4wMjI4Ng==::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::MC4wMjI4Ng==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wNDQyOQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wNDQyOQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4w::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMTgwNg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wNDI0Ng==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::LTAuMDExNTI=::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wNzgwMQ==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::LTAuMDQ3MDk=::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURQ==::SGFsZg==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::Mg==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::Mjg=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Ng==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::Mg==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::Mg==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::Mg==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::Mg==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::TUFYIDEw::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Ng==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::ZmFsc2U=::SEFSRF9QSFk="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Ng==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTQ=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREX0VYVEVSTkFMX1NFUV9ERUJVR19OSU9T::ZmFsc2U=::QWRkIGV4dGVybmFsIE5pb3MgdG8gY29ubmVjdCB0byBzZXF1ZW5jZXIgZGVidWcgaW50ZXJmYWNl"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RURfRVhQT1JUX1NFUV9ERUJVRw==::ZmFsc2U=::RXhwb3J0IHNlcXVlbmNlciBkZWJ1ZyBpbnRlcmZhY2UgZnJvbSBleGFtcGxlIGRlc2lnbg=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREX0VGRklDSUVOQ1lfTU9OSVRPUg==::ZmFsc2U=::RW5hYmxlIHRoZSBFZmZpY2llbmN5IE1vbml0b3IgYW5kIFByb3RvY29sIENoZWNrZXIgb24gdGhlIENvbnRyb2xsZXIgQXZhbG9uIEludGVyZmFjZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX01BWF9XUklURV9MQVRFTkNZX0NPVU5UX1dJRFRI::Ng==::QUZJX01BWF9XUklURV9MQVRFTkNZX0NPVU5UX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX01BWF9SRUFEX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::Ng==::QUZJX01BWF9SRUFEX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0RBVEFfV0lEVEg=::MzI=::QVZMX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZMX0FERFJfV0lEVEg=::MTM=::QVZMX0FERFJfV0lEVEg="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_NAME "YWZpX211eF9kZHIzX2Rkcng="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIEREUjMgQUZJIE11bHRpcGxleGVy"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIEREUjMgQUZJIE11bHRpcGxleGVy"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDAxMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMDAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDAxMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMDAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::ZmFsc2U=::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::MQ==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MA==::TVIxX1JUVA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MA==::TVIyX0NXTA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MQ==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTQ=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTQ=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MQ==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::dHJ1ZQ==::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MQ==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::OA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS4yNQ==::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTI1MC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTA=::TUVNX1RGQVc="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mg==::TUVNX1RSUkQ="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::MTAw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::NQ==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::dHJ1ZQ==::RkxZX0JZ"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::ODAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTQ=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::NQ==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::NQ==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTcw::dElTIChiYXNlKQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTIw::dElIIChiYXNlKQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NDU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTAw::dERRU1E="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjI1::dERRU0NL"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNw==::dERRU1M="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4xOA==::dERTSA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4xOA==::dERTUw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzUuMA==::dFJBUw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNzU=::dFJDRA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNzU=::dFJQ"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Ng==::dFdUUg=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzAuMA==::dEZBVw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ni4w::dFJSRA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURQ==::SGFsZg==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::Mg==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::Mjg=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Ng==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::Mg==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::Mg==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::Mg==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::Mg==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::TUFYIDEw::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Ng==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::ZmFsc2U=::SEFSRF9QSFk="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEFSRF9FTUlG::ZmFsc2U=::RW5hYmxlIEhhcmQgRXh0ZXJuYWwgTWVtb3J5IEludGVyZmFjZQ=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZGRyM19yYW1fcDA="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "RERSMyBTRFJBTSBFeHRlcm5hbCBNZW1vcnkgUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "RERSMyBTRFJBTSBFeHRlcm5hbCBNZW1vcnkgUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDAxMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMDAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDAxMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMDAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::ZmFsc2U=::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::MQ==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MA==::TVIxX1JUVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MA==::TVIyX0NXTA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MQ==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTQ=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTQ=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MQ==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::dHJ1ZQ==::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MQ==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::OA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS4yNQ==::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTI1MC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTA=::TUVNX1RGQVc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mg==::TUVNX1RSUkQ="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::MTAw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::NQ==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::ZmFsc2U=::RkxZX0JZ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::ODAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTQ=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::NQ==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::NQ==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTcw::dElTIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTIw::dElIIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NDU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTAw::dERRU1E="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjI1::dERRU0NL"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNw==::dERRU1M="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4xOA==::dERTSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4xOA==::dERTUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzUuMA==::dFJBUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNzU=::dFJDRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNzU=::dFJQ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Ng==::dFdUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzAuMA==::dEZBVw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ni4w::dFJSRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::ZmFsc2U=::R0VORVJJQ19QTEw="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::dHJ1ZQ==::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::dHJ1ZQ==::UExMX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::dHJ1ZQ==::RExMX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MTkx::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::ZmFsc2U=::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::ZmFsc2U=::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::ZmFsc2U=::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NQ==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MzAwLjA=::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfUkFURQ==::SEFMRg==::VEJfUkFURQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::OA==::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::MTAwLjA=::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1NUUg==::MTAwLjAgTUh6::UkVGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MTAuMA==::UkVGX0NMS19OUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MTAwMDAuMA==::UkVGX0NMS19QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0RSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX01FTV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::Mw==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MQ==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MTUwLjA=::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TVFI=::MTUwLjAgTUh6::UExMX0FGSV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::Mw==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::Mg==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX1dSSVRFX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::Mw==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MQ==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI=::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::MC4w::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg==::MCBwcw==::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::MA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::MA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::MA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MA==::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0hSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::Mw==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MQ==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::Mw==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MQ==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MTUwLjA=::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::Mw==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::Mg==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MTUwLjA=::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::Mw==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::Mg==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::Mw==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MQ==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MA==::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MA==::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MC4w::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::MC4w::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::VW5rbm93bg==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::VW5rbm93bg==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::VW5rbm93bg==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklYX1JFQURfTEFURU5DWQ==::OA==::Rml4ZWQgUmVhZCBMYXRlbmN5"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MQ==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MA==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::NA==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MQ==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MQ==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::ZmFsc2U=::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::MQ==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::Mg==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::NA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::Mg==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::OTAwMA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::Mw==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::Mw==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::Nw==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MTQ=::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::My4zMzM=::TUVNX0NMS19OUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MzMzMy4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::NA==::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::MTI=::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::NDE2::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::NTA=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::NTA=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::Nw==::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::MTU=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::MTU=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::MTU=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::Nw==::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fU1RBTkRBUkQ=::U1NUTC0xNQ==::SS9PIHN0YW5kYXJk"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::dHJ1ZQ==::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19IRVhfRklMRV9MT0NBVElPTg==::Li4v::TklPU19IRVhfRklMRV9MT0NBVElPTg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::dHJ1ZQ==::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::NQ==::TUVNX1RfV0w="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::NQ==::TUVNX1RfUkw="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::ZmFsc2U=::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::dHJ1ZQ==::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX09OTFk=::ZmFsc2U=::R2VuZXJhdGUgUEhZIG9ubHk="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::U2tpcA==::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4zMg==::dElT"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4yMg==::dElI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4xNg==::dERT"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4xNDU=::dERI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC4yNDQz::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC4yMTkyOQ==::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::MC4wMjI4Ng==::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::MC4wMjI4Ng==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wNDQyOQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wNDQyOQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4w::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMTgwNg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wNDI0Ng==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::LTAuMDExNTI=::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wNzgwMQ==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::LTAuMDQ3MDk=::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURQ==::SGFsZg==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::Mg==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::Mjg=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Ng==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::Mg==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::Mg==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::Mg==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::Mg==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::TUFYIDEw::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Ng==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::ZmFsc2U=::SEFSRF9QSFk="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Ng==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "T0NUX1RFUk1fQ09OVFJPTF9XSURUSA==::MTQ=::T0NUX1RFUk1fQ09OVFJPTF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_COMPONENT_PARAMETER "T0NUX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::T0NUIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfZGRyM19yYW1fcGxsMA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "RERSMyBTRFJBTSBFeHRlcm5hbCBNZW1vcnkgUExML0RMTC9PQ1QgYmxvY2s="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "RERSMyBTRFJBTSBFeHRlcm5hbCBNZW1vcnkgUExML0RMTC9PQ1QgYmxvY2s="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMA==::MDAwMTAwMDAxMDAwMQ==::QUNfUk9NX01SMA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9NSVJS::MDAwMTAwMDAwMTAwMQ==::QUNfUk9NX01SMF9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVQ=::MDAwMTEwMDAxMDAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVQ="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg==::MDAwMTAxMDAwMTAwMA==::QUNfUk9NX01SMF9ETExfUkVTRVRfTUlSUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMQ==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMV9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMV9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMg==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMl9NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMl9NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SMw==::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SMw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX01SM19NSVJS::MDAwMDAwMDAwMDAwMA==::QUNfUk9NX01SM19NSVJS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ::ZmFsc2U=::VVNFX05FR19FREdFX0FDX1RSQU5TRkVSX0ZPUl9IUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JM::MQ==::TVIwX0JM"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0JU::MA==::TVIwX0JU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0NBU19MQVRFTkNZ::MQ==::TVIwX0NBU19MQVRFTkNZ"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX0RMTA==::MQ==::TVIwX0RMTA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1dS::MQ==::TVIwX1dS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIwX1BE::MA==::TVIwX1BE"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RMTA==::MA==::TVIxX0RMTA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX09EUw==::MA==::TVIxX09EUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JUVA==::MA==::TVIxX1JUVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0FM::MA==::TVIxX0FM"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1dM::MA==::TVIxX1dM"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1REUVM=::MA==::TVIxX1REUVM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1FPRkY=::MA==::TVIxX1FPRkY="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX0RRUw==::MA==::TVIxX0RRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIxX1JEUVM=::MA==::TVIxX1JEUVM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0NXTA==::MA==::TVIyX0NXTA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX0FTUg==::MA==::TVIyX0FTUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSVA==::MA==::TVIyX1NSVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1NSRg==::MA==::TVIyX1NSRg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIyX1JUVF9XUg==::MA==::TVIyX1JUVF9XUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9SRg==::MA==::TVIzX01QUl9SRg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUg==::MA==::TVIzX01QUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVIzX01QUl9BQQ==::MA==::TVIzX01QUl9BQQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::TUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSSVRFX0RRU19XSURUSA==::MQ==::TUVNX0lGX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0NDX0RBVEFfV0lEVEg=::MQ==::U0NDX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEg=::MTQ=::TUVNX0lGX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0FERFJfV0lEVEhfTUlO::MTM=::TUVNX0lGX0FERFJfV0lEVEhfTUlO"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JPV19BRERSX1dJRFRI::MTQ=::TUVNX0lGX1JPV19BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTF9BRERSX1dJRFRI::MTA=::TUVNX0lGX0NPTF9BRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1dJRFRI::MQ==::TUVNX0lGX0RNX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9SQU5L::MQ==::TUVNX0lGX0NTX1BFUl9SQU5L"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX05VTUJFUl9PRl9SQU5LUw==::MQ==::TUVNX0lGX05VTUJFUl9PRl9SQU5LUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1BFUl9ESU1N::MQ==::TUVNX0lGX0NTX1BFUl9ESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NPTlRST0xfV0lEVEg=::MQ==::TUVNX0lGX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JVUlNUX0xFTkdUSA==::OA==::TUVNX0JVUlNUX0xFTkdUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xFVkVMSU5H::dHJ1ZQ==::TUVNX0xFVkVMSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU19XSURUSA==::MQ==::TnVtYmVyIG9mIERRUyBncm91cHM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NTX1dJRFRI::MQ==::TUVNX0lGX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NISVBfQklUUw==::MQ==::TUVNX0lGX0NISVBfQklUUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JBTktBRERSX1dJRFRI::Mw==::TUVNX0lGX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRX1dJRFRI::OA==::TUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NLX1dJRFRI::MQ==::TUVNX0lGX0NLX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19FTl9XSURUSA==::MQ==::TUVNX0lGX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0NMS19QQUlSX0NPVU5U::MQ==::TUVNX0lGX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX1dJRFRI::MQ==::REVWSUNFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfTlM=::MS4yNQ==::TUVNX0NMS19NQVhfTlM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19NQVhfUFM=::MTI1MC4w::TUVNX0NMS19NQVhfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQw==::MTU=::TUVNX1RSQw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVM=::MTE=::TUVNX1RSQVM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0Q=::NQ==::TUVNX1RSQ0Q="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUA==::NQ==::TUVNX1RSUA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJ::MjM0MQ==::TUVNX1RSRUZJ"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkM=::MzQ=::TUVNX1RSRkM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0Q=::MQ==::Q0ZHX1RDQ0Q="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUg==::NQ==::TUVNX1RXUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVc=::MTA=::TUVNX1RGQVc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkQ=::Mg==::TUVNX1RSUkQ="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFA=::Mw==::TUVNX1RSVFA="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ==::MTAw::TUVNX0RRU19UT19DTEtfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ==::MTAwMDAw::TUVNX0NMS19UT19EUVNfQ0FQVFVSRV9ERUxBWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX09EVF9XSURUSA==::MQ==::TUVNX0lGX09EVF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0xfSU5U::NQ==::TUVNX1dUQ0xfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkxZX0JZ::ZmFsc2U=::RkxZX0JZ"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU0=::ZmFsc2U=::UkRJTU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1N::ZmFsc2U=::TFJESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fSU5U::MA==::UkRJTU1fSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0lOVA==::MA==::TFJESU1NX0lOVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0xSRElNTV9STQ==::MA==::TUVNX0lGX0xSRElNTV9STQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU::Mg==::TUVNX0lGX1JEX1RPX1dSX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU::Mw==::TUVNX0lGX1dSX1RPX1JEX1RVUk5BUk9VTkRfT0NU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs=::MA==::Q1RMX1JEX1RPX1BDSF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw==::MA==::Q1RMX1JEX1RPX1JEX0VYVFJBX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw==::MA==::Q1RMX1dSX1RPX1dSX0VYVFJBX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1JEX1RPX1JEX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs=::MQ==::Q1RMX1dSX1RPX1dSX0RJRkZfQ0hJUF9FWFRSQV9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RZUEU=::RERSMw==::TUVNX1RZUEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw==::MA==::TUVNX01JUlJPUl9BRERSRVNTSU5HX0RFQw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0xfSU5U::MA==::TUVNX0FUQ0xfSU5U"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JFR0RJTU1fRU5BQkxFRA==::ZmFsc2U=::TUVNX1JFR0RJTU1fRU5BQkxFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0xSRElNTV9FTkFCTEVE::ZmFsc2U=::TUVNX0xSRElNTV9FTkFCTEVE"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFTkRPUg==::SkVERUM=::TWVtb3J5IHZlbmRvcg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkRJTU1fQ09ORklH::MDAwMDAwMDAwMDAwMDAwMA==::RERSMyBSRElNTS9MUkRJTU0gQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TFJESU1NX0VYVEVOREVEX0NPTkZJRw==::MHgwMDAwMDAwMDAwMDAwMDAwMDA=::TFJESU1NIEFkZGl0aW9uYWwgQ29udHJvbCBXb3Jkcw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQ1JFVEVfRkxZX0JZ::dHJ1ZQ==::Rmx5LWJ5IHRvcG9sb2d5"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0RFUFRI::MQ==::TnVtYmVyIG9mIGNoaXAgc2VsZWN0cw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX01JUlJPUl9BRERSRVNTSU5H::MA==::TWlycm9yIEFkZHJlc3Npbmc6IDEgcGVyIGNoaXAgc2VsZWN0"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX01BWA==::ODAwLjA=::TWVtb3J5IGRldmljZSBzcGVlZCBncmFkZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JPV19BRERSX1dJRFRI::MTQ=::Um93IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NPTF9BRERSX1dJRFRI::MTA=::Q29sdW1uIGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1dJRFRI::OA==::VG90YWwgaW50ZXJmYWNlIHdpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RRX1BFUl9EUVM=::OA==::RFEvRFFTIGdyb3VwIHNpemU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JBTktBRERSX1dJRFRI::Mw==::QmFuay1hZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RNX1BJTlNfRU4=::dHJ1ZQ==::RW5hYmxlIERNIHBpbnM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0RRU05fRU4=::dHJ1ZQ==::RFFTIyBFbmFibGU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9ESU1NUw==::MQ==::TnVtYmVyIG9mIHNsb3Rz"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfRElNTQ==::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBzbG90"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX05VTUJFUl9PRl9SQU5LU19QRVJfREVWSUNF::MQ==::TnVtYmVyIG9mIHJhbmtzIHBlciBkZXZpY2U="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JBTktfTVVMVElQTElDQVRJT05fRkFDVE9S::MQ==::KERlcHJlY2F0ZWQpIExSRElNTSBSYW5rIE11bHRpcGxpY2F0aW9uIEZhY3Rvcg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1dJRFRI::MQ==::TnVtYmVyIG9mIGNsb2Nrcw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NTX1dJRFRI::MQ==::TnVtYmVyIG9mIGNoaXAtc2VsZWN0cyBwZXIgZGV2aWNlL0RJTU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19FTl9XSURUSA==::MQ==::TnVtYmVyIG9mIGNsb2NrIGVuYWJsZXMgcGVyIGRldmljZS9ESU1N"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUxUTUVNUEhZX0NPTVBBVElCTEVfTU9ERQ==::ZmFsc2U=::QWxsb3cgdGhlIG1lbW9yeSBtb2RlbCB0byBiZSB1c2UgaW4gQUxUTUVNUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVYVEdFTg==::dHJ1ZQ==::RW5hYmxlIDExLjAgZXh0cmEgY29udHJvbGxlciBmZWF0dXJlcw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX0JPQVJEX0JBU0VfREVMQVk=::MTA=::QmFzZSBib2FyZCBkZWxheSBmb3IgYm9hcmQgZGVsYXkgbW9kZWw="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c=::MA==::TUVNX0lGX1NJTV9WQUxJRF9XSU5ET1c="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA==::ZmFsc2U=::TUVNX0dVQVJBTlRFRURfV1JJVEVfSU5JVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZFUkJPU0U=::dHJ1ZQ==::RW5hYmxlIHZlcmJvc2UgbWVtb3J5IG1vZGVsIG91dHB1dA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UElOR1BPTkdQSFlfRU4=::ZmFsc2U=::RW5hYmxlIFBpbmcgUG9uZyBQSFk="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX0FD::ZmFsc2U=::RHVwbGljYXRlIEFkZHJlc3MgYW5kIENvbW1hbmQgU2lnbmFscw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9CVVJTVF9WQUxJREFUSU9O::ZmFsc2U=::RW5hYmxlIG1lbW9yeSBtb2RlbCByZWZyZXNoIGJ1cnN0IHZhbGlkYXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERV9FTg==::MA==::QVBfTU9ERV9FTg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVBfTU9ERQ==::ZmFsc2U=::QVBfTU9ERQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JM::T1RG::QnVyc3QgTGVuZ3Ro"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0JU::U2VxdWVudGlhbA==::UmVhZCBCdXJzdCBUeXBl"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FTUg==::TWFudWFs::QXV0byBzZWxmcmVmcmVzaCBtZXRob2Q="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1NSVA==::Tm9ybWFs::U2VsZnJlZnJlc2ggdGVtcGVyYXR1cmU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1BE::RExMIG9mZg==::RExMIHByZWNoYXJnZSBwb3dlciBkb3du"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RSVl9TVFI=::UlpRLzY=::T3V0cHV0IGRyaXZlIHN0cmVuZ3RoIHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RMTF9FTg==::dHJ1ZQ==::RW5hYmxlIHRoZSBETEwgaW4gbWVtb3J5IGRldmljZXM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9OT00=::T0RUIERpc2FibGVk::T0RUIFJ0dCBub21pbmFsIHZhbHVl"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1JUVF9XUg==::RHluYW1pYyBPRFQgb2Zm::RHluYW1pYyBPRFQgKFJ0dF9XUikgdmFsdWU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1dUQ0w=::NQ==::TWVtb3J5IHdyaXRlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FUQ0w=::RGlzYWJsZWQ=::TWVtb3J5IGFkZGl0aXZlIENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RDTA==::NQ==::TWVtb3J5IENBUyBsYXRlbmN5IHNldHRpbmc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0FVVE9fTEVWRUxJTkdfTU9ERQ==::dHJ1ZQ==::QXV0b2xldmVsaW5nIHNlbGVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1VTRVJfTEVWRUxJTkdfTU9ERQ==::TGV2ZWxpbmc=::TGV2ZWxpbmcgaW50ZXJmYWNlIG1vZGU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0lOSVRfRU4=::ZmFsc2U=::RW5hYmxlcyBtZW1vcnkgY29udGVudCBpbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJUw==::MTcw::dElTIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RJSA==::MTIw::dElIIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUw==::MTA=::dERTIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RESA==::NDU=::dERIIChiYXNlKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNR::MTAw::dERRU1E="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRSA==::MC4zOA==::dFFI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDSw==::MjI1::dERRU0NL"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RT::NDUw::dERRU0NLIERlbHRhIFNob3J0"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RN::OTAw::dERRU0NLIERlbHRhIE1lZGl1bQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNDS0RM::MTIwMA==::dERRU0NLIERlbHRhIExvbmc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REUVNT::MC4yNw==::dERRU1M="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1RRU0g=::MC40::dFFTSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU0g=::MC4xOA==::dERTSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX1REU1M=::MC4xOA==::dERTUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX1VT::NTAw::dElOSVQ="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RJTklUX0NL::MTUwMDAw::TUVNX1RJTklUX0NL"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1REUVNDSw==::MQ==::TUVNX1REUVNDSw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RNUkRfQ0s=::NA==::dE1SRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQVNfTlM=::MzUuMA==::dFJBUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSQ0RfTlM=::MTMuNzU=::dFJDRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUF9OUw==::MTMuNzU=::dFJQ"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRUZJX1VT::Ny44::dFJFRkk="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSRkNfTlM=::MTEwLjA=::dFJGQw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0ZHX1RDQ0RfTlM=::Mi41::dENDRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXUl9OUw==::MTUuMA==::dFdS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RXVFI=::Ng==::dFdUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RGQVdfTlM=::MzAuMA==::dEZBVw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSUkRfTlM=::Ni4w::dFJSRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RSVFBfTlM=::Ny41::dFJUUA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw==::ZmFsc2U=::Q09SRV9QRVJJUEhFUllfRFVBTF9DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RSX0NMSw==::ZmFsc2U=::VVNFX0RSX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX1VTRV9EUl9DTEs=::ZmFsc2U=::RExMX1VTRV9EUl9DTEs="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFXzJYX0ZG::ZmFsc2U=::VVNFXzJYX0ZG"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVBTF9XUklURV9DTE9DSw==::ZmFsc2U=::RFVBTF9XUklURV9DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "R0VORVJJQ19QTEw=::ZmFsc2U=::R0VORVJJQ19QTEw="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hBUkRfUkVBRF9GSUZP::ZmFsc2U=::VVNFX0hBUkRfUkVBRF9GSUZP"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX0hBTEZfUkFURQ==::dHJ1ZQ==::UkVBRF9GSUZPX0hBTEZfUkFURQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01BU1RFUg==::dHJ1ZQ==::UExMX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX01BU1RFUg==::dHJ1ZQ==::RExMX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX1ZFUlNJT05fTlVNQkVS::MTkx::UEhZX1ZFUlNJT05fTlVNQkVS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfT0NJ::ZmFsc2U=::RU5BQkxFX05JT1NfT0NJ"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfSlRBR19NQVNURVI=::ZmFsc2U=::RU5BQkxFX0VNSVRfSlRBR19NQVNURVI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfSlRBR19VQVJU::ZmFsc2U=::RU5BQkxFX05JT1NfSlRBR19VQVJU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA==::ZmFsc2U=::RU5BQkxFX05JT1NfUFJJTlRGX09VVFBVVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI=::ZmFsc2U=::RU5BQkxFX0xBUkdFX1JXX01HUl9ESV9CVUZGRVI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VNSVRfQkZNX01BU1RFUg==::ZmFsc2U=::RU5BQkxFX0VNSVRfQkZNX01BU1RFUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF::ZmFsc2U=::Rk9SQ0VfU0VRVUVOQ0VSX1RDTF9ERUJVR19NT0RF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA==::ZmFsc2U=::RU5BQkxFX1NFUVVFTkNFUl9NQVJHSU5JTkdfT05fQllfREVGQVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0=::ZmFsc2U=::RU5BQkxFX01BWF9TSVpFX1NFUV9NRU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU=::ZmFsc2U=::TUFLRV9JTlRFUk5BTF9OSU9TX1ZJU0lCTEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc=::ZmFsc2U=::REVQTE9ZX1NFUVVFTkNFUl9TV19GSUxFU19GT1JfREVCVUc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ==::ZmFsc2U=::RU5BQkxFX0NTUl9TT0ZUX1JFU0VUX1JFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw==::ZmFsc2U=::RFVQTElDQVRFX1BMTF9GT1JfUEhZX0NMSw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NQ==::TUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9WQUxJRF9GSUZPX1NJWkU=::MTY=::UkVBRF9WQUxJRF9GSUZPX1NJWkU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhUUkFfVkZJRk9fU0hJRlQ=::MA==::RVhUUkFfVkZJRk9fU0hJRlQ="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0NMS19GUkVR::MzAwLjA=::VEJfTUVNX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfUkFURQ==::SEFMRg==::VEJfUkFURQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX0RRX1dJRFRI::OA==::VEJfTUVNX0lGX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI::MQ==::VEJfTUVNX0lGX1JFQURfRFFTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VEJfUExMX0RMTF9NQVNURVI=::dHJ1ZQ==::VEJfUExMX0RMTF9NQVNURVI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RkFTVF9TSU1fQ0FMSUJSQVRJT04=::ZmFsc2U=::RkFTVF9TSU1fQ0FMSUJSQVRJT04="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVR::MTAwLjA=::UExMIHJlZmVyZW5jZSBjbG9jayBmcmVxdWVuY3k="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1NUUg==::MTAwLjAgTUh6::UkVGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19OUw==::MTAuMA==::UkVGX0NMS19OUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QUw==::MTAwMDAuMA==::UkVGX0NMS19QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVR::MC4w::UExMX0RSX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0RSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QUw==::MA==::UExMX0RSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0RSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0RSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxU::MA==::UExMX0RSX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVY=::MA==::UExMX0RSX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUQ==::MzAwLjA=::QWNoaWV2ZWQgbWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TVFI=::MzAwLjAgTUh6::UExMX01FTV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFM=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVH::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX01FTV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVA==::Mw==::UExMX01FTV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElW::MQ==::UExMX01FTV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUQ==::MTUwLjA=::QWNoaWV2ZWQgbG9jYWwgY2xvY2sgZnJlcXVlbmN5"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TVFI=::MTUwLjAgTUh6::UExMX0FGSV9DTEtfRlJFUV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFM=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVH::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0FGSV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVA==::Mw==::UExMX0FGSV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElW::Mg==::UExMX0FGSV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVR::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX1dSSVRFX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX1dSSVRFX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxU::Mw==::UExMX1dSSVRFX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVY=::MQ==::UExMX1dSSVRFX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVR::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FERFJfQ01EX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI=::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0FERFJfQ01EX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxU::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVY=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVR::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg==::MzAwLjAgTUh6::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI=::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc=::MjcwLjA=::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0=::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN::MjcwLjA=::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxU::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVY=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVE=::MC4w::UExMX05JT1NfQ0xLX0ZSRVE="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg==::MCBwcw==::UExMX05JT1NfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BT::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX05JT1NfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFQ=::MA==::UExMX05JT1NfQ0xLX01VTFQ="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVg==::MA==::UExMX05JT1NfQ0xLX0RJVg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0NPTkZJR19DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFM=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVH::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0NPTkZJR19DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVA==::MA==::UExMX0NPTkZJR19DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElW::MA==::UExMX0NPTkZJR19DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVR::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX1AyQ19SRUFEX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc=::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxU::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVY=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS::MCBwcw==::UExMX0MyUF9XUklURV9DTEtfRlJFUV9TSU1fU1RS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVH"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfREVHX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVA==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElW::MA==::UExMX0MyUF9XUklURV9DTEtfRElW"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVR::MC4w::UExMX0hSX0NMS19GUkVR"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1NJTV9TVFI=::MCBwcw==::UExMX0hSX0NMS19GUkVRX1NJTV9TVFI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QUw==::MA==::UExMX0hSX0NMS19QSEFTRV9QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUc=::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19TSU0=::MA==::UExMX0hSX0NMS19QSEFTRV9QU19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN::MC4w::UExMX0hSX0NMS19QSEFTRV9ERUdfU0lN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxU::MA==::UExMX0hSX0NMS19NVUxU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVY=::MA==::UExMX0hSX0NMS19ESVY="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVE=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVE="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg==::MCBwcw==::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfU0lNX1NUUg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BT"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw==::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFRw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1NJTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX0RFR19TSU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFQ=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFQ="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVg==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX0NBQ0hFX1ZBTElE"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE::dHJ1ZQ==::UkVGX0NMS19GUkVRX1BBUkFNX1ZBTElE"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9QQVJBTQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9QQVJBTQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01JTl9DQUNIRQ==::MTAuMA==::UkVGX0NMS19GUkVRX01JTl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX01BWF9DQUNIRQ==::NTAwLjA=::UkVGX0NMS19GUkVRX01BWF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0RSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0RSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0RSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0RSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0RSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0RSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0RSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0RSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9QQVJBTQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9QQVJBTQ==::Mw==::UExMX01FTV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX1BBUkFN::MQ==::UExMX01FTV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9DQUNIRQ==::MzAwLjA=::UExMX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::MzMzNCBwcw==::UExMX01FTV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX01FTV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX01FTV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfTVVMVF9DQUNIRQ==::Mw==::UExMX01FTV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX01FTV9DTEtfRElWX0NBQ0hF::MQ==::UExMX01FTV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ==::MTUwLjA=::UExMX0FGSV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ==::Mw==::UExMX0FGSV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX1BBUkFN::Mg==::UExMX0FGSV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ==::MTUwLjA=::UExMX0FGSV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF::NjY2OCBwcw==::UExMX0FGSV9DTEtfRlJFUV9TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0FGSV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ==::MCBwcw==::UExMX0FGSV9DTEtfUEhBU0VfUFNfU0lNX1NUUl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ==::Mw==::UExMX0FGSV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9DTEtfRElWX0NBQ0hF::Mg==::UExMX0FGSV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN::Mw==::UExMX1dSSVRFX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfUEFSQU0=::MQ==::UExMX1dSSVRFX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX1dSSVRFX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX1dSSVRFX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX1dSSVRFX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX1dSSVRFX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX1dSSVRFX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FERFJfQ01EX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FERFJfQ01EX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MCBwcw==::UExMX0FERFJfQ01EX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX0FERFJfQ01EX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX0FERFJfQ01EX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF::MzAwLjA=::UExMX0FGSV9IQUxGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU=::MzMzNCBwcw==::UExMX0FGSV9IQUxGX0NMS19GUkVRX1NJTV9TVFJfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ==::MjUwMA==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF::MjUwMCBwcw==::UExMX0FGSV9IQUxGX0NMS19QSEFTRV9QU19TSU1fU1RSX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF::Mw==::UExMX0FGSV9IQUxGX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU=::MQ==::UExMX0FGSV9IQUxGX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX05JT1NfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX05JT1NfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX05JT1NfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX05JT1NfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX05JT1NfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX1BBUkFN::MA==::UExMX0NPTkZJR19DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0NPTkZJR19DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0NPTkZJR19DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0NPTkZJR19DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF::MA==::UExMX0NPTkZJR19DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX1AyQ19SRUFEX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX1AyQ19SRUFEX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF::MA==::UExMX1AyQ19SRUFEX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU=::MA==::UExMX1AyQ19SRUFEX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ==::MC4w::UExMX0MyUF9XUklURV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU=::MA==::UExMX0MyUF9XUklURV9DTEtfUEhBU0VfUFNfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ==::MA==::UExMX0MyUF9XUklURV9DTEtfTVVMVF9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF::MA==::UExMX0MyUF9XUklURV9DTEtfRElWX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX1BBUkFN::MC4w::UExMX0hSX0NMS19GUkVRX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX1BBUkFN::MA==::UExMX0hSX0NMS19NVUxUX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfUEFSQU0=::MA==::UExMX0hSX0NMS19ESVZfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19GUkVRX0NBQ0hF::MC4w::UExMX0hSX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ==::MA==::UExMX0hSX0NMS19QSEFTRV9QU19DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19NVUxUX0NBQ0hF::MA==::UExMX0hSX0NMS19NVUxUX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0hSX0NMS19ESVZfQ0FDSEU=::MA==::UExMX0hSX0NMS19ESVZfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX1BBUkFN"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfUEFSQU0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU=::MC4w::UExMX0FGSV9QSFlfQ0xLX0ZSRVFfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF::MA==::UExMX0FGSV9QSFlfQ0xLX1BIQVNFX1BTX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU=::MA==::UExMX0FGSV9QSFlfQ0xLX01VTFRfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ==::MA==::UExMX0FGSV9QSFlfQ0xLX0RJVl9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNFX0NBQ0hF::ZmFsc2U=::SVNfRVNfREVWSUNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVRX0NBQ0hF::MC4w::TUVNX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19GUkVRX0NBQ0hF::MC4w::UkVGX0NMS19GUkVRX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURV9DQUNIRQ==::VW5rbm93bg==::UkFURV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SENYX0NPTVBBVF9NT0RFX0NBQ0hF::ZmFsc2U=::SENYX0NPTVBBVF9NT0RFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ==::VW5rbm93bg==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09NTUFORF9QSEFTRV9DQUNIRQ==::MC4w::Q09NTUFORF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX1BIQVNFX0NBQ0hF::MC4w::TUVNX0NLX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF::MC4w::UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ==::MC4w::QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF::MC4w::QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNFX0NBQ0hF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEVfQ0FDSEU=::VW5rbm93bg==::U0VRVUVOQ0VSX1RZUEVfQ0FDSEU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ==::ZmFsc2U=::VVNFX01FTV9DTEtfRlJFUV9DQUNIRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NMS19DQUNIRV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19DQUNIRV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX0NMS19QQVJBTV9WQUxJRA==::dHJ1ZQ==::UExMX0NMS19QQVJBTV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYVFJBX1JFUE9SVElORw==::ZmFsc2U=::RU5BQkxFX0VYVFJBX1JFUE9SVElORw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0VYVFJBX1JFUE9SVF9QQVRI::MTA=::TlVNX0VYVFJBX1JFUE9SVF9QQVRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0lTU19QUk9CRVM=::ZmFsc2U=::RU5BQkxFX0lTU19QUk9CRVM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfUkVHX1dJRFRI::OA==::Q0FMSUJfUkVHX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NFUVVFTkNFUl9CRk0=::ZmFsc2U=::VVNFX1NFUVVFTkNFUl9CRk0="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::UExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0FGSV9IQUxGX0NMSw==::ZmFsc2U=::RW5hYmxlIEFGSSBoYWxmIHJhdGUgY2xvY2s="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q=::ZmFsc2U=::QUJTVFJBQ1RfUkVBTF9DT01QQVJFX1RFU1Q="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA==::ZmFsc2U=::SU5DTFVERV9CT0FSRF9ERUxBWV9NT0RFTA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw=::ZmFsc2U=::SU5DTFVERV9NVUxUSVJBTktfQk9BUkRfREVMQVlfTU9ERUw="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZX0lOVEVSTkFM::ZmFsc2U=::VVNFX0ZBS0VfUEhZX0lOVEVSTkFM"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0ZBS0VfUEhZ::ZmFsc2U=::VXNlIEZha2UgUEhZ"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::MA==::Rk9SQ0VfTUFYX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF::ZmFsc2U=::VVNFX0FMTF9BRklfUEhBU0VTX0ZPUl9DT01NQU5EX0lTU1VF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNUUlVDVElWRV9DQUxJQg==::ZmFsc2U=::RW5hYmxlcyBOb24tRGVzdHJ1Y3RpdmUgQ2FsaWJyYXRpb24="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RklYX1JFQURfTEFURU5DWQ==::OA==::Rml4ZWQgUmVhZCBMYXRlbmN5"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1VTRVJfUkRJTU1fVkFMVUU=::ZmFsc2U=::VVNFX1VTRVJfUkRJTU1fVkFMVUU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF::ZmFsc2U=::RU5BQkxFX0RFTEFZX0NIQUlOX1dSSVRF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfRVJST1JfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfRVJST1JfVEVTVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJBQ0tJTkdfV0FUQ0hfVEVTVA==::ZmFsc2U=::VFJBQ0tJTkdfV0FUQ0hfVEVTVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFSR0lOX1ZBUklBVElPTl9URVNU::ZmFsc2U=::TUFSR0lOX1ZBUklBVElPTl9URVNU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzA=::MF8wMDAwXzAwMDBfMDAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAx"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUk9NX1VTRVJfQUREXzE=::MF8wMDAwXzAwMDBfMTAwMA==::Q2FsaWJyYXRpb24gQWRkcmVzcyAy"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJFRkk=::MzUxMDA=::VHJlZmkgeCA5"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGUkVTSF9JTlRFUlZBTA==::MTUwMDA=::UmVmcmVzaCBJbnRlcnZhbA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q=::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FMX1RFU1Q="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJGQw==::MzUw::VHJmYw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX05PTl9ERVNfQ0FM::ZmFsc2U=::RU5BQkxFX05PTl9ERVNfQ0FM"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RVhQT1JUX0NTUl9QT1JU::ZmFsc2U=::RVhQT1JUX0NTUl9QT1JU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0FERFJfV0lEVEg=::OA==::Q1NSX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0RBVEFfV0lEVEg=::MzI=::Q1NSX0RBVEFfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1NSX0JFX1dJRFRI::NA==::Q1NSX0JFX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0RFVklDRQ==::TUlTU0lOR19NT0RFTA==::TUVNX0RFVklDRQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw==::MQ==::TlVNX1NVQkdST1VQX1BFUl9SRUFEX0RRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw==::MA==::UVZMRF9FWFRSQV9GTE9QX1NUQUdFUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UVZMRF9XUl9BRERSRVNTX09GRlNFVA==::NA==::UVZMRF9XUl9BRERSRVNTX09GRlNFVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg=::NA==::TUFYX1dSSVRFX0xBVEVOQ1lfQ09VTlRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM=::MQ==::TlVNX1dSSVRFX1BBVEhfRkxPUF9TVEFHRVM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw==::MA==::TlVNX0FDX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM=::MA==::Rk9SQ0VEX05VTV9XUklURV9GUl9DWUNMRV9TSElGVFM="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw==::MQ==::TlVNX1dSSVRFX0ZSX0NZQ0xFX1NISUZUUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O::ZmFsc2U=::UEVSRk9STV9SRUFEX0FGVEVSX1dSSVRFX0NBTElCUkFUSU9O"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRX0JVUlNUX0NPVU5UX1dJRFRI::MQ==::U0VRX0JVUlNUX0NPVU5UX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkNBTElCX0NPVU5UX1dJRFRI::Mg==::VkNBTElCX0NPVU5UX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1BIQVNFX0NPVU5URVJfV0lEVEg=::NA==::UExMX1BIQVNFX0NPVU5URVJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc=::Mg==::RFFTX0RFTEFZX0NIQUlOX1BIQVNFX1NFVFRJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX1BIQVNFX1NISUZU::OTAwMA==::RFFTX1BIQVNFX1NISUZU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H::Mg==::REVMQVlFRF9DTE9DS19QSEFTRV9TRVRUSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0lOX1JFU0VSVkU=::Mw==::SU9fRFFTX0lOX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX09VVF9SRVNFUlZF::Mw==::SU9fRFFTX09VVF9SRVNFUlZF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFfT1VUX1JFU0VSVkU=::MA==::SU9fRFFfT1VUX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRE1fT1VUX1JFU0VSVkU=::MA==::SU9fRE1fT1VUX1JFU0VSVkU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX0RFTEFZX09GRlNFVA==::MA==::SU9fRFFTX0VOX0RFTEFZX09GRlNFVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFTX0VOX1BIQVNFX01BWA==::Nw==::SU9fRFFTX0VOX1BIQVNFX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fRFFEUVNfT1VUX1BIQVNFX01BWA==::MTQ=::SU9fRFFEUVNfT1VUX1BIQVNFX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT::ZmFsc2U=::SU9fU0hJRlRfRFFTX0VOX1dIRU5fU0hJRlRfRFFT"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M=::ZmFsc2U=::SFJfRERJT19PVVRfSEFTX1RIUkVFX1JFR1M="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19OUw==::My4zMzM=::TUVNX0NMS19OUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19QUw==::MzMzMy4w::TUVNX0NMS19QUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfTEZJRk9fT0ZGU0VU::NA==::Q0FMSUJfTEZJRk9fT0ZGU0VU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJfVkZJRk9fT0ZGU0VU::MTI=::Q0FMSUJfVkZJRk9fT0ZGU0VU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX09QQV9UQVA=::NDE2::REVMQVlfUEVSX09QQV9UQVA="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RDSEFJTl9UQVA=::NTA=::REVMQVlfUEVSX0RDSEFJTl9UQVA="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ::NTA=::REVMQVlfUEVSX0RRU19FTl9EQ0hBSU5fVEFQ"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0VOX0RFTEFZX01BWA==::Nw==::RFFTX0VOX0RFTEFZX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0lOX0RFTEFZX01BWA==::MTU=::RFFTX0lOX0RFTEFZX01BWA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fSU5fREVMQVlfTUFY::MTU=::SU9fSU5fREVMQVlfTUFY"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fT1VUMV9ERUxBWV9NQVg=::MTU=::SU9fT1VUMV9ERUxBWV9NQVg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fT1VUMl9ERUxBWV9NQVg=::Nw==::SU9fT1VUMl9ERUxBWV9NQVg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU9fU1RBTkRBUkQ=::U1NUTC0xNQ==::SS9PIHN0YW5kYXJk"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VkZJRk9fQVNfU0hJRlRfUkVH::dHJ1ZQ==::VkZJRk9fQVNfU0hJRlRfUkVH"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRVUVOQ0VSX1RZUEU=::TklPUw==::U2VxdWVuY2VyIG9wdGltaXphdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19IRVhfRklMRV9MT0NBVElPTg==::Li4v::TklPU19IRVhfRklMRV9MT0NBVElPTg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw==::ZmFsc2U=::QURWRVJUSVpFX1NFUVVFTkNFUl9TV19CVUlMRF9GSUxFUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U=::dHJ1ZQ==::TkVHQVRJVkVfV1JJVEVfQ0tfUEhBU0U="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RfV0w=::NQ==::TUVNX1RfV0w="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1RfUkw=::NQ==::TUVNX1RfUkw="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NMS0JVRg==::ZmFsc2U=::UEhZX0NMS0JVRg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw==::ZmFsc2U=::VVNFX0xEQ19BU19MT1dfU0tFV19DTE9DSw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0xEQ19GT1JfQUREUl9DTUQ=::ZmFsc2U=::VVNFX0xEQ19GT1JfQUREUl9DTUQ="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA==::ZmFsc2U=::RU5BQkxFX0xEQ19NRU1fQ0tfQURKVVNUTUVOVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA==::MA==::TUVNX0NLX0xEQ19BREpVU1RNRU5UX1RIUkVTSE9MRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA==::dHJ1ZQ==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX0lOVkVSVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF::MA==::TERDX0ZPUl9BRERSX0NNRF9NRU1fQ0tfQ1BTX1BIQVNF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA==::ZmFsc2U=::Rk9SQ0VEX05PTl9MRENfQUREUl9DTURfTUVNX0NLX0lOVkVSVA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU::ZmFsc2U=::Tk9OX0xEQ19BRERSX0NNRF9NRU1fQ0tfSU5WRVJU"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVHSVNURVJfQzJQ::ZmFsc2U=::UkVHSVNURVJfQzJQ"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS::ZmFsc2U=::RUFSTFlfQUREUl9DTURfQ0xLX1RSQU5TRkVS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUFYMTBfUlRMX1NFUQ==::dHJ1ZQ==::TUFYMTBfUlRMX1NFUQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX09OTFk=::ZmFsc2U=::R2VuZXJhdGUgUEhZIG9ubHk="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0VRX01PREU=::MA==::RnVsbC0gb3IgaGFsZi1yYXRlIHNlcXVlbmNlcg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QURWQU5DRURfQ0tfUEhBU0VT::ZmFsc2U=::QWR2YW5jZWQgY2xvY2sgcGhhc2UgY29udHJvbA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UDJDX1JFQURfQ0xPQ0tfQUREX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgcGVyaXBoZXJ5LXRvLWNvcmUgdHJhbnNmZXI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QzJQX1dSSVRFX0NMT0NLX0FERF9QSEFTRQ==::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgY29yZS10by1wZXJpcGhlcnkgdHJhbnNmZXI="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNWX1BIWV9DTEtfQUREX0ZSX1BIQVNF::MC4w::QWRkaXRpb25hbCBwaGFzZSBmb3IgUEhZIGNsb2NrIHRyZWU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX1ZPTFRBR0U=::MS41ViBERFIz::U3VwcGx5IFZvbHRhZ2U="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U0tJUF9NRU1fSU5JVA==::dHJ1ZQ==::U2tpcCBNZW1vcnkgSW5pdGlhbGl6YXRpb24gRGVsYXlz"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF::SU5WRVJURURfRFFTX0JVUw==::UkVBRF9EUV9EUVNfQ0xPQ0tfU09VUkNF"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfSU5QVVRfUkVHX1VTRV9DTEtO::ZmFsc2U=::RFFfSU5QVVRfUkVHX1VTRV9DTEtO"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFTX0RRU05fTU9ERQ==::RElGRkVSRU5USUFM::RFFTX0RRU05fTU9ERQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RFQlVHX0lORk9fV0lEVEg=::MzI=::QUZJX0RFQlVHX0lORk9fV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0FMSUJSQVRJT05fTU9ERQ==::U2tpcA==::QXV0by1jYWxpYnJhdGlvbiBtb2Rl"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19ST01fREFUQV9XSURUSA==::MzI=::TklPU19ST01fREFUQV9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TklPU19ST01fQUREUkVTU19XSURUSA==::MTM=::TklPU19ST01fQUREUkVTU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVBRF9GSUZPX1NJWkU=::OA==::RGVwdGggb2YgdGhlIHJlYWQgRklGTw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9FTkFCTEVE::ZmFsc2U=::RW5hYmxlIENvbmZpZ3VyYXRpb24gYW5kIFN0YXR1cyBSZWdpc3RlciBJbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEhZX0NTUl9DT05ORUNUSU9O::SU5URVJOQUxfSlRBRw==::Q1NSIHBvcnQgaG9zdCBpbnRlcmZhY2U="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFUl9ERUJVR19MRVZFTA==::MQ==::RGVidWdnaW5nIGZlYXR1cmUgc2V0"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFUkFURV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgbWV0aG9k"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEU=::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURQ==::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURQ==::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURQ==::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0NLX0NLTl9TTEVXX1JBVEVfQVBQTElFRA==::Mi4w::Q0svQ0sjIHNsZXcgcmF0ZSAoRGlmZmVyZW50aWFsKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NMRVdfUkFURV9BUFBMSUVE::MS4w::QWRkcmVzcyBhbmQgY29tbWFuZCBzbGV3IHJhdGU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRU19EUVNOX1NMRVdfUkFURV9BUFBMSUVE::Mi4w::RFFTL0RRUyMgc2xldyByYXRlIChEaWZmZXJlbnRpYWwp"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1NMRVdfUkFURV9BUFBMSUVE::MS4w::RFEgc2xldyByYXRl"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJUw==::MC4w::dElT"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSA==::MC4w::dElI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REUw==::MC4w::dERT"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESA==::MC4w::dERI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJU19BUFBMSUVE::MC4zMg==::dElT"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RJSF9BUFBMSUVE::MC4yMg==::dElI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1REU19BUFBMSUVE::MC4xNg==::dERT"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1RESF9BUFBMSUVE::MC4xNDU=::dERI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0lTSV9NRVRIT0Q=::QVVUTw==::RGVyYXRpbmcgTWV0aG9k"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1U=::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT04=::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUU=::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTg==::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRQ==::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fU1VfQVBQTElFRA==::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChzZXR1cCk="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX0VZRV9SRURVQ1RJT05fSF9BUFBMSUVE::MC4w::QWRkcmVzcyBhbmQgY29tbWFuZCBleWUgcmVkdWN0aW9uIChob2xkKQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX0VZRV9SRURVQ1RJT05fQVBQTElFRA==::MC4w::V3JpdGUgRFEgZXllIHJlZHVjdGlvbg=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX0RRU19BUlJJVkFMX1RJTUVfQVBQTElFRA==::MC4w::V3JpdGUgRGVsdGEgRFFTIGFycml2YWwgdGltZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1JFQURfRFFfRVlFX1JFRFVDVElPTl9BUFBMSUVE::MC4w::UmVhZCBEUSBleWUgcmVkdWN0aW9u"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RFTFRBX1JFQURfRFFTX0FSUklWQUxfVElNRV9BUFBMSUVE::MC4w::UmVhZCBEZWx0YSBEUVMgYXJyaXZhbCB0aW1l"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBEUS9EUVMgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUNfUEFDS0FHRV9ERVNLRVc=::ZmFsc2U=::RlBHQSBBZGRyZXNzL0NvbW1hbmQgcGFja2FnZSBza2V3cyBkZXNrZXdlZCBvbiBib2FyZA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9DS19ERUxBWQ==::MC4yNDQz::TWF4aW11bSBDSyBkZWxheSB0byBESU1NL2RldmljZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX01BWF9EUVNfREVMQVk=::MC4yMTkyOQ==::TWF4aW11bSBEUVMgZGVsYXkgdG8gRElNTS9kZXZpY2U="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU4=::MC4wMjI4Ng==::TWluaW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA==::MC4wMjI4Ng==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NSU5fQVBQTElFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVg=::MC4wNDQyOQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gQ0sgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA==::MC4wNDQyOQ==::VElNSU5HX0JPQVJEX1NLRVdfQ0tEUVNfRElNTV9NQVhfQVBQTElFRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NUw==::MC4wNQ==::TWF4aW11bSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRElNTXMvZGV2aWNlcw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE::MC4w::VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9ESU1NU19BUFBMSUVE"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfV0lUSElOX0RRUw==::MC4wMTgwNg==::TWF4aW11bSBza2V3IHdpdGhpbiBEUVMgZ3JvdXA="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX1NLRVdfQkVUV0VFTl9EUVM=::MC4wNDI0Ng==::TWF4aW11bSBza2V3IGJldHdlZW4gRFFTIGdyb3Vwcw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0RRX1RPX0RRU19TS0VX::LTAuMDExNTI=::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gRFEgYW5kIERRUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1NLRVc=::MC4wNzgwMQ==::TWF4aW11bSBza2V3IHdpdGhpbiBhZGRyZXNzIGFuZCBjb21tYW5kIGJ1cw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VElNSU5HX0JPQVJEX0FDX1RPX0NLX1NLRVc=::LTAuMDQ3MDk=::QXZlcmFnZSBkZWxheSBkaWZmZXJlbmNlIGJldHdlZW4gYWRkcmVzcyBhbmQgY29tbWFuZCBhbmQgQ0s="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkFURQ==::SGFsZg==::UmF0ZSBvbiBBdmFsb24tTU0gaW50ZXJmYWNl"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TUVNX0NMS19GUkVR::MzAwLjA=::TWVtb3J5IGNsb2NrIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX01FTV9DTEtfRlJFUQ==::ZmFsc2U=::VXNlIHNwZWNpZmllZCBmcmVxdWVuY3kgaW5zdGVhZCBvZiBjYWxjdWxhdGVkIGZyZXF1ZW5jeQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0RRU19UUkFDS0lORw==::ZmFsc2U=::VVNFX0RRU19UUkFDS0lORw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfRFFTX1RSQUNLSU5H::QVVUTw==::Rm9yY2UgRFFTIFRyYWNraW5nIEVuYWJsZWQvRGlzYWJsZWQ="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX0hQU19EUVNfVFJBQ0tJTkc=::ZmFsc2U=::VVNFX0hQU19EUVNfVFJBQ0tJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VFJLX1BBUkFMTEVMX1NDQ19MT0FE::ZmFsc2U=::VFJLX1BBUkFMTEVMX1NDQ19MT0FE"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "VVNFX1NIQURPV19SRUdT::ZmFsc2U=::VVNFX1NIQURPV19SRUdT"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfU0hBRE9XX1JFR1M=::QVVUTw==::U2hhZG93IFJlZ2lzdGVycw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RFFfRERS::MQ==::RFFfRERS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9DTURfRERS::MA==::QUREUl9DTURfRERS"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JBVEVfUkFUSU8=::Mg==::QUZJX1JBVEVfUkFUSU8="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REFUQV9SQVRFX1JBVElP::Mg==::REFUQV9SQVRFX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUREUl9SQVRFX1JBVElP::MQ==::QUREUl9SQVRFX1JBVElP"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0FERFJfV0lEVEg=::Mjg=::QUZJX0FERFJfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0JBTktBRERSX1dJRFRI::Ng==::QUZJX0JBTktBRERSX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NPTlRST0xfV0lEVEg=::Mg==::QUZJX0NPTlRST0xfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NTX1dJRFRI::Mg==::QUZJX0NTX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19FTl9XSURUSA==::Mg==::QUZJX0NMS19FTl9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RNX1dJRFRI::NA==::QUZJX0RNX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0RRX1dJRFRI::MzI=::QUZJX0RRX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX09EVF9XSURUSA==::Mg==::QUZJX09EVF9XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSSVRFX0RRU19XSURUSA==::Mg==::QUZJX1dSSVRFX0RRU19XSURUSA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JMQVRfV0lEVEg=::Ng==::QUZJX1JMQVRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dMQVRfV0lEVEg=::Ng==::QUZJX1dMQVRfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1JSQU5LX1dJRFRI::Mg==::QUZJX1JSQU5LX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX1dSQU5LX1dJRFRI::Mg==::QUZJX1dSQU5LX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "QUZJX0NMS19QQUlSX0NPVU5U::MQ==::QUZJX0NMS19QQUlSX0NPVU5U"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw==::ZmFsc2U=::TVJTX01JUlJPUl9QSU5HX1BPTkdfQVRTTw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ==::TUFYIDEw::U1lTX0lORk9fREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UFJFX1ZfU0VSSUVTX0ZBTUlMWQ==::ZmFsc2U=::UFJFX1ZfU0VSSUVTX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9DQUNIRV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA==::dHJ1ZQ==::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTV9WQUxJRA=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYMTA=::UEFSU0VfRlJJRU5ETFlfREVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWV9QQVJBTQ==::TUFYIDEw::REVWSUNFX0ZBTUlMWV9QQVJBTQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "U1BFRURfR1JBREU=::Ng==::U3BlZWQgR3JhZGU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SVNfRVNfREVWSUNF::ZmFsc2U=::RW5naW5lZXJpbmcgU2FtcGxlIChFUykgRGV2aWNl"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RElTQUJMRV9DSElMRF9NRVNTQUdJTkc=::dHJ1ZQ==::RElTQUJMRV9DSElMRF9NRVNTQUdJTkc="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEFSRF9QSFk=::ZmFsc2U=::SEFSRF9QSFk="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQUw==::ZmFsc2U=::SEhQX0hQUw=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19WRVJJRklDQVRJT04=::ZmFsc2U=::SEhQX0hQU19WRVJJRklDQVRJT04="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SEhQX0hQU19TSU1VTEFUSU9O::ZmFsc2U=::SEhQX0hQU19TSU1VTEFUSU9O"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "SFBTX1BST1RPQ09M::REVGQVVMVA==::TWVtb3J5IFByb3RvY29s"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q1VUX05FV19GQU1JTFlfVElNSU5H::dHJ1ZQ==::Q1VUX05FV19GQU1JTFlfVElNSU5H"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX0RFTEFZX0NUUkxfV0lEVEg=::Ng==::RExMX0RFTEFZX0NUUkxfV0lEVEg="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX09GRlNFVF9DVFJMX1dJRFRI::Ng==::RExMX09GRlNFVF9DVFJMX1dJRFRI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfQlVGRkVSX01PREU=::SElHSA==::REVMQVlfQlVGRkVSX01PREU="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "REVMQVlfQ0hBSU5fTEVOR1RI::OA==::REVMQVlfQ0hBSU5fTEVOR1RI"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "RExMX1NIQVJJTkdfTU9ERQ==::Tm9uZQ==::RExMIHNoYXJpbmcgbW9kZQ=="
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_COMPONENT_PARAMETER "UkVGX0NMS19QRVJJT0RfUFM=::MTAwMDA=::UkVGX0NMS19QRVJJT0RfUFM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfY3B1"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TmlvcyBJSSBQcm9jZXNzb3I="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIE5pb3MgSUkgUHJvY2Vzc29y"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dG1yX2VuYWJsZWQ=::ZmFsc2U=::TmlvcyBJSSBUcmlwbGUgTW9kZSBSZWR1bmRhbmN5"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19kaXNhYmxlX3Rtcl9pbmo=::ZmFsc2U=::RGlzYWJsZWQgVE1SIEVycm9yIEluamVjdGlvbiBQb3J0"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zaG93VW5wdWJsaXNoZWRTZXR0aW5ncw==::ZmFsc2U=::U2hvdyBVbnB1Ymxpc2hlZCBTZXR0aW5ncw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zaG93SW50ZXJuYWxTZXR0aW5ncw==::ZmFsc2U=::U2hvdyBJbnRlcm5hbCBWZXJpZmljYXRpb24gU2V0dGluZ3M="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19wcmVjaXNlSWxsZWdhbE1lbUFjY2Vzc0V4Y2VwdGlvbg==::ZmFsc2U=::TWlzYWxpZ25lZCBtZW1vcnkgYWNjZXNz"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnRQQ0I=::ZmFsc2U=::c2V0dGluZ19leHBvcnRQQ0I="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnRkZWJ1Z2luZm8=::ZmFsc2U=::RXhwb3J0IEluc3RydWN0aW9uIEV4ZWN1dGlvbiBTdGF0ZXM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19jbGVhclhCaXRzTEROb25CeXBhc3M=::dHJ1ZQ==::Q2xlYXIgWCBkYXRhIGJpdHM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19iaWdFbmRpYW4=::ZmFsc2U=::c2V0dGluZ19iaWdFbmRpYW4="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnRfbGFyZ2VfUkFNcw==::ZmFsc2U=::RXhwb3J0IExhcmdlIFJBTXM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hc2ljX2VuYWJsZWQ=::ZmFsc2U=::QVNJQyBlbmFibGVk"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVnaXN0ZXJfZmlsZV9wb3I=::ZmFsc2U=::UmVnaXN0ZXIgRmlsZSBQT1I="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hc2ljX3N5bm9wc3lzX3RyYW5zbGF0ZV9vbl9vZmY=::ZmFsc2U=::QVNJQyBTeW5vcHN5cyB0cmFuc2xhdGU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hc2ljX3RoaXJkX3BhcnR5X3N5bnRoZXNpcw==::ZmFsc2U=::QVNJQyB0aGlyZCBwYXJ0eSBzeW50aGVzaXM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hc2ljX2FkZF9zY2FuX21vZGVfaW5wdXQ=::ZmFsc2U=::QVNJQyBhZGQgc2NhbiBtb2RlIGlucHV0"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19vY2lfdmVyc2lvbg==::MQ==::TmlvcyBJSSBPQ0kgVmVyc2lvbg=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19mYXN0X3JlZ2lzdGVyX3JlYWQ=::ZmFsc2U=::RmFzdCBSZWdpc3RlciBSZWFk"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnRIb3N0RGVidWdQb3J0::ZmFsc2U=::RXhwb3J0IERlYnVnIEhvc3QgU2xhdmU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19vY2lfZXhwb3J0X2p0YWdfc2lnbmFscw==::ZmFsc2U=::RXhwb3J0IEpUQUcgc2lnbmFscw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hdmFsb25EZWJ1Z1BvcnRQcmVzZW50::ZmFsc2U=::QXZhbG9uIERlYnVnIFBvcnQgUHJlc2VudA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hbHdheXNFbmNyeXB0::dHJ1ZQ==::QWx3YXlzIGVuY3J5cHQ="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW9fcmVnaW9uc2l6ZQ==::MA==::U2l6ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zdXBwb3J0MzFiaXRkY2FjaGVieXBhc3M=::dHJ1ZQ==::VXNlIG1vc3Qtc2lnbmlmaWNhbnQgYWRkcmVzcyBiaXQgaW4gcHJvY2Vzc29yIHRvIGJ5cGFzcyBkYXRhIGNhY2hl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZVRyYWNl::dHJ1ZQ==::R2VuZXJhdGUgdHJhY2UgZmlsZSBkdXJpbmcgUlRMIHNpbXVsYXRpb24="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hbGxvd19icmVha19pbnN0::ZmFsc2U=::QWxsb3cgQnJlYWsgaW5zdHJ1Y3Rpb25z"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZVRlc3RFbmRDaGVja2Vy::ZmFsc2U=::QWN0aXZhdGUgdGVzdCBlbmQgY2hlY2tlcg=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19lY2Nfc2ltX3Rlc3RfcG9ydHM=::ZmFsc2U=::RW5hYmxlIEVDQyBzaW11bGF0aW9uIHRlc3QgcG9ydHM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19kaXNhYmxlb2NpdHJhY2U=::ZmFsc2U=::RGlzYWJsZSBjb21wdHIgZ2VuZXJhdGlvbg=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZU1vbml0b3Jz::dHJ1ZQ==::QWN0aXZhdGUgbW9uaXRvcnM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19IRExTaW1DYWNoZXNDbGVhcmVk::dHJ1ZQ==::SERMIHNpbXVsYXRpb24gY2FjaGVzIGNsZWFyZWQ="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19IQnJlYWtUZXN0::ZmFsc2U=::QWRkIEhCcmVhayBSZXF1ZXN0IHBvcnQ="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19icmVha3NsYXZlb3ZlcmlkZQ==::ZmFsc2U=::TWFudWFsbHkgYXNzaWduIGJyZWFrIHNsYXZl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXB1X2VuYWJsZWQ=::ZmFsc2U=::SW5jbHVkZSBNUFU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X2VuYWJsZWQ=::ZmFsc2U=::SW5jbHVkZSBNTVU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3B1UmVzZXQ=::ZmFsc2U=::SW5jbHVkZSBjcHVfcmVzZXRyZXF1ZXN0IGFuZCBjcHVfcmVzZXR0YWtlbiBzaWduYWxz"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRyZXF1ZXN0X2VuYWJsZWQ=::dHJ1ZQ==::SW5jbHVkZSByZXNldF9yZXEgc2lnbmFsIGZvciBPQ0kgUkFNIGFuZCBNdWx0aS1DeWNsZSBDdXN0b20gSW5zdHJ1Y3Rpb25z"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19yZW1vdmVSQU1pbml0::ZmFsc2U=::UmVtb3ZlIFJBTSBJbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ190bXJfb3V0cHV0X2Rpc2FibGU=::ZmFsc2U=::Q3JlYXRlIGEgc2lnbmFsIHRvIGRpc2FibGUgVE1SIG91dHB1dHM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zaGFkb3dSZWdpc3RlclNldHM=::MA==::TnVtYmVyIG9mIHNoYWRvdyByZWdpc3RlciBzZXRzICgwLTYzKQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRPZmZzZXQ=::MA==::UmVzZXQgdmVjdG9yIG9mZnNldA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uT2Zmc2V0::Mjg4::RXhjZXB0aW9uIHZlY3RvciBvZmZzZXQ="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3B1SUQ=::MA==::Q1BVSUQgY29udHJvbCByZWdpc3RlciB2YWx1ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "YnJlYWtPZmZzZXQ=::MzI=::QnJlYWsgdmVjdG9yIG9mZnNldA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRTbGF2ZQ==::ZXh0X2ZsYXNoLmF2bF9tZW0=::UmVzZXQgdmVjdG9yIG1lbW9yeQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uU2xhdmU=::ZGRyM19yYW0uYXZs::RXhjZXB0aW9uIHZlY3RvciBtZW1vcnk="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "YnJlYWtTbGF2ZQ==::Y3B1Lmp0YWdfZGVidWdfbW9kdWxl::QnJlYWsgdmVjdG9yIG1lbW9yeQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pbnRlcnJ1cHRDb250cm9sbGVyVHlwZQ==::SW50ZXJuYWw=::SW50ZXJydXB0IGNvbnRyb2xsZXI="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19icmFuY2hwcmVkaWN0aW9udHlwZQ==::RHluYW1pYw==::QnJhbmNoIHByZWRpY3Rpb24gdHlwZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19iaHRQdHJTeg==::OA==::TnVtYmVyIG9mIGVudHJpZXMgKDItYml0cyB3aWRlKQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3B1QXJjaFJldg==::MQ==::QXJjaGl0ZWN0dXJlIFJldmlzaW9u"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c3RyYXRpeF9kc3BibG9ja19zaGlmdF9tdWw=::ZmFsc2U=::c3RyYXRpeF9kc3BibG9ja19zaGlmdF9tdWw="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2hpZnRlclR5cGU=::ZmFzdF9sZV9zaGlmdA==::c2hpZnRlclR5cGU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXVsdGlwbGllclR5cGU=::bXVsX2Zhc3QzMg==::bXVsdGlwbGllclR5cGU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXVsX3NoaWZ0X2Nob2ljZQ==::MA==::TXVsdGlwbHkvU2hpZnQvUm90YXRlIEhhcmR3YXJl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGl2aWRlclR5cGU=::bm9fZGl2::RGl2aWRlIEhhcmR3YXJl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW1wbA==::RmFzdA==::TmlvcyBJSSBDb3Jl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWNhY2hlX3NpemU=::MjA0OA==::U2l6ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZmFfY2FjaGVfbGluZXNpemU=::MA==::TGluZSBTaXpl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWNhY2hlX3RhZ3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::VGFnIFJBTSBibG9jayB0eXBl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWNhY2hlX3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::RGF0YSBSQU0gYmxvY2sgdHlwZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWNhY2hlX251bVRDSU0=::MA==::TnVtYmVyIG9mIHRpZ2h0bHkgY291cGxlZCBpbnN0cnVjdGlvbiBtYXN0ZXIgcG9ydHM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWNhY2hlX2J1cnN0VHlwZQ==::Tm9uZQ==::QWRkIGJ1cnN0Y291bnQgc2lnbmFsIHRvIGluc3RydWN0aW9uX21hc3Rlcg=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2J1cnN0cw==::ZmFsc2U=::QWRkIGJ1cnN0Y291bnQgc2lnbmFsIHRvIGRhdGFfbWFzdGVy"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3ZpY3RpbV9idWZfaW1wbA==::cmFt::VmljdGltIGJ1ZmZlciBpbXBsZW1lbnRhdGlvbg=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3NpemU=::MjA0OA==::U2l6ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3RhZ3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::VGFnIFJBTSBibG9jayB0eXBl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::RGF0YSBSQU0gYmxvY2sgdHlwZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX251bVRDRE0=::MA==::TnVtYmVyIG9mIHRpZ2h0bHkgY291cGxlZCBkYXRhIG1hc3RlciBwb3J0cw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnR2ZWN0b3Jz::ZmFsc2U=::RXhwb3J0IFZlY3RvcnM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ191c2VkZXNpZ253YXJl::ZmFsc2U=::VXNlIERlc2lnbndhcmUgQ29tcG9uZW50cw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19lY2NfcHJlc2VudA==::ZmFsc2U=::RUNDIFByZXNlbnQ="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pY19lY2NfcHJlc2VudA==::dHJ1ZQ==::SW5zdHJ1Y3Rpb24gQ2FjaGUgRUNDIFByZXNlbnQ="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19yZl9lY2NfcHJlc2VudA==::dHJ1ZQ==::UmVnaXN0ZXIgRmlsZSBFQ0MgUHJlc2VudA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19tbXVfZWNjX3ByZXNlbnQ=::dHJ1ZQ==::TU1VIEVDQyBQcmVzZW50"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19kY19lY2NfcHJlc2VudA==::ZmFsc2U=::RGF0YSBDYWNoZSBFQ0MgUHJlc2VudA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pdGNtX2VjY19wcmVzZW50::ZmFsc2U=::SW5zdHJ1Y3Rpb24gVENNIEVDQyBQcmVzZW50"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19kdGNtX2VjY19wcmVzZW50::ZmFsc2U=::RGF0YSBUQ00gRUNDIFByZXNlbnQ="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVnZmlsZV9yYW1CbG9ja1R5cGU=::QXV0b21hdGlj::UkFNIGJsb2NrIHR5cGU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "b2NpbWVtX3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::UkFNIGJsb2NrIHR5cGU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "b2NpbWVtX3JhbUluaXQ=::ZmFsc2U=::SW5pdGlhbGl6ZWQgT0NJIFJBTQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::TU1VIFJBTSBibG9jayB0eXBl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Ymh0X3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::QkhUIFJBTSBCbG9jayBUeXBl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y2R4X2VuYWJsZWQ=::ZmFsc2U=::Q0RYIChDb2RlIERlbnNpdHkgZVh0ZW5zaW9uKSBJbnN0cnVjdGlvbnM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXB4X2VuYWJsZWQ=::ZmFsc2U=::bXB4X2VuYWJsZWQ="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfZW5hYmxlZA==::dHJ1ZQ==::SW5jbHVkZSBKVEFHIERlYnVn"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfdHJpZ2dlckFybWluZw==::dHJ1ZQ==::VHJpZ2dlciBBcm1pbmc="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfZGVidWdSZXFTaWduYWxz::ZmFsc2U=::SW5jbHVkZSBkZWJ1Z3JlcSBhbmQgZGVidWdhY2sgU2lnbmFscw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfYXNzaWduSnRhZ0luc3RhbmNlSUQ=::ZmFsc2U=::QXNzaWduIEpUQUcgSW5zdGFuY2UgSUQgZm9yIGRlYnVnIGNvcmUgbWFudWFsbHk="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfanRhZ0luc3RhbmNlSUQ=::MA==::SlRBRyBJbnN0YW5jZSBJRCB2YWx1ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfaHdicmVha3BvaW50::Mg==::SGFyZHdhcmUgQnJlYWtwb2ludHM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfZGF0YXRyaWdnZXI=::MA==::RGF0YSBUcmlnZ2Vycw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfdHJhY2VUeXBl::bm9uZQ==::VHJhY2UgVHlwZXM="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bWFzdGVyX2FkZHJfbWFw::ZmFsc2U=::TWFudWFsbHkgU2V0IE1hc3RlciBCYXNlIEFkZHJlc3MgYW5kIFNpemU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX2Jhc2U=::MA==::SW5zdHJ1Y3Rpb24gTWFzdGVyIEJhc2UgQWRkcmVzcw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX3NpemU=::MA==::SW5zdHJ1Y3Rpb24gTWFzdGVyIFNpemU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Zmxhc2hfaW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX2Jhc2U=::MA==::Rmxhc2ggSW5zdHJ1Y3Rpb24gTWFzdGVyIEJhc2UgQWRkcmVzcw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Zmxhc2hfaW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX3NpemU=::MA==::Rmxhc2ggSW5zdHJ1Y3Rpb24gTWFzdGVyIFNpemU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YV9tYXN0ZXJfcGFkZHJfYmFzZQ==::MA==::RGF0YSBNYXN0ZXIgQmFzZSBBZGRyZXNz"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YV9tYXN0ZXJfcGFkZHJfc2l6ZQ==::MA==::RGF0YSBNYXN0ZXIgU2l6ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8wX3BhZGRyX2Jhc2U=::MA==::VGlnaHRseSBjb3VwbGVkIEluc3RydWN0aW9uIE1hc3RlciAwIEJhc2UgQWRkcmVzcw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8wX3BhZGRyX3NpemU=::MA==::VGlnaHRseSBjb3VwbGVkIEluc3RydWN0aW9uIE1hc3RlciAwIFNpemU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8xX3BhZGRyX2Jhc2U=::MA==::VGlnaHRseSBjb3VwbGVkIEluc3RydWN0aW9uIE1hc3RlciAxIEJhc2UgQWRkcmVzcw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8xX3BhZGRyX3NpemU=::MA==::VGlnaHRseSBjb3VwbGVkIEluc3RydWN0aW9uIE1hc3RlciAxIFNpemU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8yX3BhZGRyX2Jhc2U=::MA==::VGlnaHRseSBjb3VwbGVkIEluc3RydWN0aW9uIE1hc3RlciAyIEJhc2UgQWRkcmVzcw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8yX3BhZGRyX3NpemU=::MA==::VGlnaHRseSBjb3VwbGVkIEluc3RydWN0aW9uIE1hc3RlciAyIFNpemU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8zX3BhZGRyX2Jhc2U=::MA==::VGlnaHRseSBjb3VwbGVkIEluc3RydWN0aW9uIE1hc3RlciAzIEJhc2UgQWRkcmVzcw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8zX3BhZGRyX3NpemU=::MA==::VGlnaHRseSBjb3VwbGVkIEluc3RydWN0aW9uIE1hc3RlciAzIFNpemU="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzBfcGFkZHJfYmFzZQ==::MA==::VGlnaHRseSBjb3VwbGVkIERhdGEgTWFzdGVyIDAgQmFzZSBBZGRyZXNz"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzBfcGFkZHJfc2l6ZQ==::MA==::VGlnaHRseSBjb3VwbGVkIERhdGEgTWFzdGVyIDAgU2l6ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzFfcGFkZHJfYmFzZQ==::MA==::VGlnaHRseSBjb3VwbGVkIERhdGEgTWFzdGVyIDEgQmFzZSBBZGRyZXNz"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzFfcGFkZHJfc2l6ZQ==::MA==::VGlnaHRseSBjb3VwbGVkIERhdGEgTWFzdGVyIDEgU2l6ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzJfcGFkZHJfYmFzZQ==::MA==::VGlnaHRseSBjb3VwbGVkIERhdGEgTWFzdGVyIDIgQmFzZSBBZGRyZXNz"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzJfcGFkZHJfc2l6ZQ==::MA==::VGlnaHRseSBjb3VwbGVkIERhdGEgTWFzdGVyIDIgU2l6ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzNfcGFkZHJfYmFzZQ==::MA==::VGlnaHRseSBjb3VwbGVkIERhdGEgTWFzdGVyIDMgQmFzZSBBZGRyZXNz"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzNfcGFkZHJfc2l6ZQ==::MA==::VGlnaHRseSBjb3VwbGVkIERhdGEgTWFzdGVyIDMgU2l6ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25fbWFzdGVyX2hpZ2hfcGVyZm9ybWFuY2VfcGFkZHJfYmFzZQ==::MA==::SW5zdHJ1Y3Rpb24gTWFzdGVyIEhpZ2ggUGVyZm9ybWFuY2UgQmFzZSBBZGRyZXNz"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25fbWFzdGVyX2hpZ2hfcGVyZm9ybWFuY2VfcGFkZHJfc2l6ZQ==::MA==::SW5zdHJ1Y3Rpb24gTWFzdGVyIEhpZ2ggUGVyZm9ybWFuY2UgU2l6ZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YV9tYXN0ZXJfaGlnaF9wZXJmb3JtYW5jZV9wYWRkcl9iYXNl::MA==::RGF0YSBNYXN0ZXIgSGlnaCBQZXJmb3JtYW5jZSBCYXNlIEFkZHJlc3M="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YV9tYXN0ZXJfaGlnaF9wZXJmb3JtYW5jZV9wYWRkcl9zaXpl::MA==::RGF0YSBNYXN0ZXIgSGlnaCBQZXJmb3JtYW5jZSBTaXpl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRBYnNvbHV0ZUFkZHI=::MzM1NTQ0MzIw::UmVzZXQgdmVjdG9y"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uQWJzb2x1dGVBZGRy::MTM0MjE4MDE2::RXhjZXB0aW9uIHZlY3Rvcg=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "YnJlYWtBYnNvbHV0ZUFkZHI=::NDA2ODU3NzYw::QnJlYWsgdmVjdG9y"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X1RMQk1pc3NFeGNBYnNBZGRy::MA==::RmFzdCBUTEIgTWlzcyBFeGNlcHRpb24gdmVjdG9y"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2J1cnN0c19kZXJpdmVk::ZmFsc2U=::ZGNhY2hlX2J1cnN0c19kZXJpdmVk"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3NpemVfZGVyaXZlZA==::MjA0OA==::ZGNhY2hlX3NpemVfZGVyaXZlZA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "YnJlYWtTbGF2ZV9kZXJpdmVk::Y3B1LmRlYnVnX21lbV9zbGF2ZQ==::YnJlYWtTbGF2ZV9kZXJpdmVk"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2xpbmVTaXplX2Rlcml2ZWQ=::MzI=::ZGNhY2hlX2xpbmVTaXplX2Rlcml2ZWQ="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pb3JlZ2lvbkJ5cGFzc0RDYWNoZQ==::ZmFsc2U=::c2V0dGluZ19pb3JlZ2lvbkJ5cGFzc0RDYWNoZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19iaXQzMUJ5cGFzc0RDYWNoZQ==::dHJ1ZQ==::c2V0dGluZ19iaXQzMUJ5cGFzc0RDYWNoZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dHJhbnNsYXRlX29u::InN5bnRoZXNpcyB0cmFuc2xhdGVfb24i::dHJhbnNsYXRlX29u"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dHJhbnNsYXRlX29mZg==::InN5bnRoZXNpcyB0cmFuc2xhdGVfb2ZmIg==::dHJhbnNsYXRlX29mZg=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfb25jaGlwdHJhY2U=::ZmFsc2U=::ZGVidWdfb25jaGlwdHJhY2U="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfb2ZmY2hpcHRyYWNl::ZmFsc2U=::ZGVidWdfb2ZmY2hpcHRyYWNl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfaW5zdHRyYWNl::ZmFsc2U=::ZGVidWdfaW5zdHRyYWNl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfZGF0YXRyYWNl::ZmFsc2U=::ZGVidWdfZGF0YXRyYWNl"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdEFkZHJXaWR0aA==::Mjk=::aW5zdEFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZmFBZGRyV2lkdGg=::MQ==::ZmFBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YUFkZHJXaWR0aA==::Mjk=::ZGF0YUFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMEFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMEFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMUFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMUFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMkFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMkFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyM0FkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyM0FkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjBBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjBBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjFBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjFBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjJBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjJBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjNBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjNBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdkZHIzX3JhbS5hdmwnIHN0YXJ0PScweDgwMDAwMDAnIGVuZD0nMHgxMDAwMDAwMCcgdHlwZT0nYWx0ZXJhX21lbV9pZl9kZHIzX2VtaWYuYXZsJyAvPjxzbGF2ZSBuYW1lPSdleHRfZmxhc2guYXZsX21lbScgc3RhcnQ9JzB4MTQwMDAwMDAnIGVuZD0nMHgxODAwMDAwMCcgdHlwZT0nYWx0ZXJhX2dlbmVyaWNfcXVhZF9zcGlfY29udHJvbGxlci5hdmxfbWVtJyAvPjxzbGF2ZSBuYW1lPSdvbmNoaXBfZmxhc2guZGF0YScgc3RhcnQ9JzB4MTgyMDAwMDAnIGVuZD0nMHgxODM2MDAwMCcgdHlwZT0nYWx0ZXJhX29uY2hpcF9mbGFzaC5kYXRhJyAvPjxzbGF2ZSBuYW1lPSdjcHUuZGVidWdfbWVtX3NsYXZlJyBzdGFydD0nMHgxODQwMjgwMCcgZW5kPScweDE4NDAzMDAwJyB0eXBlPSdhbHRlcmFfbmlvczJfZ2VuMi5kZWJ1Z19tZW1fc2xhdmUnIC8+PC9hZGRyZXNzLW1hcD4=::aW5zdFNsYXZlTWFwUGFyYW0="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::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::ZGF0YVNsYXZlTWFwUGFyYW0="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::NTAwMDAwMDA=::Y2xvY2tGcmVxdWVuY3k="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::TUFYIDEw::ZGV2aWNlRmFtaWx5TmFtZQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::NjE=::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm8=::PGluZm8vPg==::Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm8="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm9fbmlvc19h::PGluZm8vPg==::Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm9fbmlvc19h"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm9fbmlvc19i::PGluZm8vPg==::Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm9fbmlvc19i"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm9fbmlvc19j::PGluZm8vPg==::Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm9fbmlvc19j"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv::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::ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::MTBNNTBEQUY0ODRDNkdFUw==::QXV0byBERVZJQ0U="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Ng==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::MTQ=::QXV0byBDTE9DS19ET01BSU4="
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::MTQ=::QXV0byBSRVNFVF9ET01BSU4="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfY3B1X2NwdQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "TmlvcyBJSSBQcm9jZXNzb3IgVW5pdA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIE5pb3MgSUkgVW5pdCBQcm9jZXNzb3I="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3B1X25hbWU=::Y3B1::Y3B1X25hbWU="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zaG93VW5wdWJsaXNoZWRTZXR0aW5ncw==::ZmFsc2U=::U2hvdyBVbnB1Ymxpc2hlZCBTZXR0aW5ncw=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zaG93SW50ZXJuYWxTZXR0aW5ncw==::ZmFsc2U=::U2hvdyBJbnRlcm5hbCBWZXJpZmljYXRpb24gU2V0dGluZ3M="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19wcmVjaXNlSWxsZWdhbE1lbUFjY2Vzc0V4Y2VwdGlvbg==::ZmFsc2U=::TWlzYWxpZ25lZCBtZW1vcnkgYWNjZXNz"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnRQQ0I=::ZmFsc2U=::c2V0dGluZ19leHBvcnRQQ0I="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnRkZWJ1Z2luZm8=::ZmFsc2U=::RXhwb3J0IEluc3RydWN0aW9uIEV4ZWN1dGlvbiBTdGF0ZXM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19jbGVhclhCaXRzTEROb25CeXBhc3M=::dHJ1ZQ==::Q2xlYXIgWCBkYXRhIGJpdHM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19iaWdFbmRpYW4=::ZmFsc2U=::c2V0dGluZ19iaWdFbmRpYW4="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnRfbGFyZ2VfUkFNcw==::ZmFsc2U=::RXhwb3J0IExhcmdlIFJBTXM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hc2ljX2VuYWJsZWQ=::ZmFsc2U=::QVNJQyBlbmFibGVk"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hc2ljX3N5bm9wc3lzX3RyYW5zbGF0ZV9vbl9vZmY=::ZmFsc2U=::QVNJQyBTeW5vcHN5cyB0cmFuc2xhdGU="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hc2ljX3RoaXJkX3BhcnR5X3N5bnRoZXNpcw==::ZmFsc2U=::QVNJQyB0aGlyZCBwYXJ0eSBzeW50aGVzaXM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hc2ljX2FkZF9zY2FuX21vZGVfaW5wdXQ=::ZmFsc2U=::QVNJQyBhZGQgc2NhbiBtb2RlIGlucHV0"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19vY2lfZXhwb3J0X2p0YWdfc2lnbmFscw==::ZmFsc2U=::RXhwb3J0IEpUQUcgc2lnbmFscw=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hdmFsb25EZWJ1Z1BvcnRQcmVzZW50::ZmFsc2U=::QXZhbG9uIERlYnVnIFBvcnQgUHJlc2VudA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hbHdheXNFbmNyeXB0::dHJ1ZQ==::QWx3YXlzIGVuY3J5cHQ="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVnaXN0ZXJfZmlsZV9wb3I=::ZmFsc2U=::UmVnaXN0ZXIgRmlsZSBQT1I="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW9fcmVnaW9uYmFzZQ==::MA==::QmFzZSBBZGRyZXNz"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW9fcmVnaW9uc2l6ZQ==::MA==::U2l6ZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zdXBwb3J0MzFiaXRkY2FjaGVieXBhc3M=::dHJ1ZQ==::VXNlIG1vc3Qtc2lnbmlmaWNhbnQgYWRkcmVzcyBiaXQgaW4gcHJvY2Vzc29yIHRvIGJ5cGFzcyBkYXRhIGNhY2hl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZVRyYWNl::dHJ1ZQ==::R2VuZXJhdGUgdHJhY2UgZmlsZSBkdXJpbmcgUlRMIHNpbXVsYXRpb24="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hbGxvd19icmVha19pbnN0::ZmFsc2U=::QWxsb3cgQnJlYWsgaW5zdHJ1Y3Rpb25z"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZVRlc3RFbmRDaGVja2Vy::ZmFsc2U=::QWN0aXZhdGUgdGVzdCBlbmQgY2hlY2tlcg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19lY2Nfc2ltX3Rlc3RfcG9ydHM=::ZmFsc2U=::RW5hYmxlIEVDQyBzaW11bGF0aW9uIHRlc3QgcG9ydHM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19kaXNhYmxlb2NpdHJhY2U=::ZmFsc2U=::RGlzYWJsZSBjb21wdHI="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZU1vbml0b3Jz::dHJ1ZQ==::QWN0aXZhdGUgbW9uaXRvcnM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19IRExTaW1DYWNoZXNDbGVhcmVk::dHJ1ZQ==::SERMIHNpbXVsYXRpb24gY2FjaGVzIGNsZWFyZWQ="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19IQnJlYWtUZXN0::ZmFsc2U=::QWRkIEhCcmVhayBSZXF1ZXN0IHBvcnQ="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19icmVha3NsYXZlb3ZlcmlkZQ==::ZmFsc2U=::TWFudWFsbHkgYXNzaWduIGJyZWFrIHNsYXZl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXB1X3VzZUxpbWl0::ZmFsc2U=::VXNlIExpbWl0IGZvciByZWdpb24gcmFuZ2U="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXB1X2VuYWJsZWQ=::ZmFsc2U=::SW5jbHVkZSBNUFU="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X2VuYWJsZWQ=::ZmFsc2U=::SW5jbHVkZSBNTVU="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X2F1dG9Bc3NpZ25UbGJQdHJTeg==::dHJ1ZQ==::T3B0aW1pemUgVExCIGVudHJpZXMgYmFzZSBvbiBkZXZpY2UgZmFtaWx5"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3B1UmVzZXQ=::ZmFsc2U=::SW5jbHVkZSBjcHVfcmVzZXRyZXF1ZXN0IGFuZCBjcHVfcmVzZXR0YWtlbiBzaWduYWxz"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRyZXF1ZXN0X2VuYWJsZWQ=::dHJ1ZQ==::SW5jbHVkZSByZXNldF9yZXEgc2lnbmFsIGZvciBPQ0kgUkFNIGFuZCBNdWx0aS1DeWNsZSBDdXN0b20gSW5zdHJ1Y3Rpb25z"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19yZW1vdmVSQU1pbml0::ZmFsc2U=::UmVtb3ZlIFJBTSBJbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zaGFkb3dSZWdpc3RlclNldHM=::MA==::TnVtYmVyIG9mIHNoYWRvdyByZWdpc3RlciBzZXRzICgwLTYzKQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXB1X251bU9mSW5zdFJlZ2lvbg==::OA==::TnVtYmVyIG9mIGluc3RydWN0aW9uIHJlZ2lvbnM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXB1X251bU9mRGF0YVJlZ2lvbg==::OA==::TnVtYmVyIG9mIGRhdGEgcmVnaW9ucw=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X1RMQk1pc3NFeGNPZmZzZXQ=::MA==::RmFzdCBUTEIgTWlzcyBFeGNlcHRpb24gdmVjdG9yIG9mZnNldA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRPZmZzZXQ=::MA==::UmVzZXQgdmVjdG9yIG9mZnNldA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uT2Zmc2V0::Mjg4::RXhjZXB0aW9uIHZlY3RvciBvZmZzZXQ="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3B1SUQ=::MA==::Q1BVSUQgY29udHJvbCByZWdpc3RlciB2YWx1ZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "YnJlYWtPZmZzZXQ=::MzI=::QnJlYWsgdmVjdG9yIG9mZnNldA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRTbGF2ZQ==::ZXh0X2ZsYXNoLmF2bF9tZW0=::UmVzZXQgdmVjdG9yIG1lbW9yeQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uU2xhdmU=::ZGRyM19yYW0uYXZs::RXhjZXB0aW9uIHZlY3RvciBtZW1vcnk="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "YnJlYWtTbGF2ZQ==::Y3B1Lmp0YWdfZGVidWdfbW9kdWxl::QnJlYWsgdmVjdG9yIG1lbW9yeQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pbnRlcnJ1cHRDb250cm9sbGVyVHlwZQ==::SW50ZXJuYWw=::SW50ZXJydXB0IGNvbnRyb2xsZXI="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19icmFuY2hQcmVkaWN0aW9uVHlwZQ==::RHluYW1pYw==::QnJhbmNoIHByZWRpY3Rpb24gdHlwZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19iaHRQdHJTeg==::OA==::TnVtYmVyIG9mIGVudHJpZXMgKDItYml0cyB3aWRlKQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3B1QXJjaFJldg==::MQ==::QXJjaGl0ZWN0dXJlIFJldmlzaW9u"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c3RyYXRpeF9kc3BibG9ja19zaGlmdF9tdWw=::ZmFsc2U=::VXNlIERTUCBCbG9jayBmb3IgU2hpZnRlciBhbmQgTXVsdGlwbGllcg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2hpZnRlclR5cGU=::ZmFzdF9sZV9zaGlmdA==::U2hpZnRlcg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXVsdGlwbGllclR5cGU=::bXVsX2Zhc3QzMg==::TXVsdGlwbGllcg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGl2aWRlclR5cGU=::bm9fZGl2::RGl2aWRlcg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXB1X21pbkluc3RSZWdpb25TaXpl::MTI=::TWluaW11bSBpbnN0cnVjdGlvbiByZWdpb24gc2l6ZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXB1X21pbkRhdGFSZWdpb25TaXpl::MTI=::TWluaW11bSBkYXRhIHJlZ2lvbiBzaXpl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X3VpdGxiTnVtRW50cmllcw==::NA==::TWljcm8gSVRMQiBlbnRyaWVz"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X3VkdGxiTnVtRW50cmllcw==::Ng==::TWljcm8gRFRMQiBlbnRyaWVz"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X3RsYlB0clN6::Nw==::VExCIGVudHJpZXM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X3RsYk51bVdheXM=::MTY=::VExCIFNldC1Bc3NvY2lhdGl2aXR5"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X3Byb2Nlc3NJRE51bUJpdHM=::OA==::UHJvY2VzcyBJRCAoUElEKSBiaXRz"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW1wbA==::RmFzdA==::TmlvcyBJSSBDb3Jl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWNhY2hlX3NpemU=::MjA0OA==::U2l6ZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZmFfY2FjaGVfbGluZQ==::Mg==::TnVtYmVyIG9mIExpbmVz"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZmFfY2FjaGVfbGluZXNpemU=::MA==::TGluZSBTaXpl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWNhY2hlX3RhZ3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::VGFnIFJBTSBibG9jayB0eXBl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWNhY2hlX3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::RGF0YSBSQU0gYmxvY2sgdHlwZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWNhY2hlX251bVRDSU0=::MA==::TnVtYmVyIG9mIHRpZ2h0bHkgY291cGxlZCBpbnN0cnVjdGlvbiBtYXN0ZXIgcG9ydHM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aWNhY2hlX2J1cnN0VHlwZQ==::Tm9uZQ==::QWRkIGJ1cnN0Y291bnQgc2lnbmFsIHRvIGluc3RydWN0aW9uX21hc3Rlcg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2J1cnN0cw==::ZmFsc2U=::QWRkIGJ1cnN0Y291bnQgc2lnbmFsIHRvIGRhdGFfbWFzdGVy"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3ZpY3RpbV9idWZfaW1wbA==::cmFt::VmljdGltIGJ1ZmZlciBpbXBsZW1lbnRhdGlvbg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3NpemU=::MjA0OA==::U2l6ZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3RhZ3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::VGFnIFJBTSBibG9jayB0eXBl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::RGF0YSBSQU0gYmxvY2sgdHlwZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX251bVRDRE0=::MA==::TnVtYmVyIG9mIHRpZ2h0bHkgY291cGxlZCBkYXRhIG1hc3RlciBwb3J0cw=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnR2ZWN0b3Jz::ZmFsc2U=::RXhwb3J0IFZlY3RvcnM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ191c2VkZXNpZ253YXJl::ZmFsc2U=::VXNlIERlc2lnbndhcmUgQ29tcG9uZW50cw=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19lY2NfcHJlc2VudA==::ZmFsc2U=::RUNDIFByZXNlbnQ="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pY19lY2NfcHJlc2VudA==::dHJ1ZQ==::SW5zdHJ1Y3Rpb24gQ2FjaGUgRUNDIFByZXNlbnQ="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19yZl9lY2NfcHJlc2VudA==::dHJ1ZQ==::UmVnaXN0ZXIgRmlsZSBFQ0MgUHJlc2VudA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19tbXVfZWNjX3ByZXNlbnQ=::dHJ1ZQ==::TU1VIEVDQyBQcmVzZW50"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19kY19lY2NfcHJlc2VudA==::ZmFsc2U=::RGF0YSBDYWNoZSBFQ0MgUHJlc2VudA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pdGNtX2VjY19wcmVzZW50::ZmFsc2U=::SW5zdHJ1Y3Rpb24gVENNIEVDQyBQcmVzZW50"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19kdGNtX2VjY19wcmVzZW50::ZmFsc2U=::RGF0YSBUQ00gRUNDIFByZXNlbnQ="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVnZmlsZV9yYW1CbG9ja1R5cGU=::QXV0b21hdGlj::UkFNIGJsb2NrIHR5cGU="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "b2NpbWVtX3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::UkFNIGJsb2NrIHR5cGU="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "b2NpbWVtX3JhbUluaXQ=::ZmFsc2U=::SW5pdGlhbGl6ZWQgT0NJIFJBTQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::TU1VIFJBTSBibG9jayB0eXBl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Ymh0X3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::QkhUIFJBTSBCbG9jayBUeXBl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y2R4X2VuYWJsZWQ=::ZmFsc2U=::Q0RYIChDb2RlIERlbnNpdHkgZVh0ZW5zaW9uKSBJbnN0cnVjdGlvbnM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bXB4X2VuYWJsZWQ=::ZmFsc2U=::TVBYIChNdWx0aS1Qcm9jZXNzb3IgZVh0ZW5zaW9uKSBJbnN0cnVjdGlvbnM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dG1yX2VuYWJsZWQ=::ZmFsc2U=::dG1yX2VuYWJsZWQ="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfZW5hYmxlZA==::dHJ1ZQ==::SW5jbHVkZSBKVEFHIERlYnVn"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfdHJpZ2dlckFybWluZw==::dHJ1ZQ==::VHJpZ2dlciBBcm1pbmc="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfZGVidWdSZXFTaWduYWxz::ZmFsc2U=::SW5jbHVkZSBkZWJ1Z3JlcSBhbmQgZGVidWdhY2sgU2lnbmFscw=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfYXNzaWduSnRhZ0luc3RhbmNlSUQ=::ZmFsc2U=::QXNzaWduIEpUQUcgSW5zdGFuY2UgSUQgZm9yIGRlYnVnIGNvcmUgbWFudWFsbHk="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfanRhZ0luc3RhbmNlSUQ=::MA==::SlRBRyBJbnN0YW5jZSBJRCB2YWx1ZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfT0NJT25jaGlwVHJhY2U=::XzEyOA==::T25jaGlwIFRyYWNlIEZyYW1lIFNpemU="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfaHdicmVha3BvaW50::Mg==::SGFyZHdhcmUgQnJlYWtwb2ludHM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfZGF0YXRyaWdnZXI=::MA==::RGF0YSBUcmlnZ2Vycw=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfdHJhY2VUeXBl::bm9uZQ==::VHJhY2UgVHlwZXM="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfdHJhY2VTdG9yYWdl::b25jaGlwX3RyYWNl::VHJhY2UgU3RvcmFnZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19vY2lfdmVyc2lvbg==::MQ==::c2V0dGluZ19vY2lfdmVyc2lvbg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19mYXN0X3JlZ2lzdGVyX3JlYWQ=::ZmFsc2U=::c2V0dGluZ19mYXN0X3JlZ2lzdGVyX3JlYWQ="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bWFzdGVyX2FkZHJfbWFw::ZmFsc2U=::bWFzdGVyX2FkZHJfbWFw"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX2Jhc2U=::MA==::aW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX2Jhc2U="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX3RvcA==::MA==::aW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX3RvcA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Zmxhc2hfaW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX2Jhc2U=::MA==::Zmxhc2hfaW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX2Jhc2U="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Zmxhc2hfaW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX3RvcA==::MA==::Zmxhc2hfaW5zdHJ1Y3Rpb25fbWFzdGVyX3BhZGRyX3RvcA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YV9tYXN0ZXJfcGFkZHJfYmFzZQ==::MA==::ZGF0YV9tYXN0ZXJfcGFkZHJfYmFzZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YV9tYXN0ZXJfcGFkZHJfdG9w::MA==::ZGF0YV9tYXN0ZXJfcGFkZHJfdG9w"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8wX3BhZGRyX2Jhc2U=::MA==::dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8wX3BhZGRyX2Jhc2U="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8wX3BhZGRyX3RvcA==::MA==::dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8wX3BhZGRyX3RvcA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8xX3BhZGRyX2Jhc2U=::MA==::dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8xX3BhZGRyX2Jhc2U="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8xX3BhZGRyX3RvcA==::MA==::dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8xX3BhZGRyX3RvcA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8yX3BhZGRyX2Jhc2U=::MA==::dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8yX3BhZGRyX2Jhc2U="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8yX3BhZGRyX3RvcA==::MA==::dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8yX3BhZGRyX3RvcA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8zX3BhZGRyX2Jhc2U=::MA==::dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8zX3BhZGRyX2Jhc2U="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8zX3BhZGRyX3RvcA==::MA==::dGlnaHRseV9jb3VwbGVkX2luc3RydWN0aW9uX21hc3Rlcl8zX3BhZGRyX3RvcA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzBfcGFkZHJfYmFzZQ==::MA==::dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzBfcGFkZHJfYmFzZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzBfcGFkZHJfdG9w::MA==::dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzBfcGFkZHJfdG9w"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzFfcGFkZHJfYmFzZQ==::MA==::dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzFfcGFkZHJfYmFzZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzFfcGFkZHJfdG9w::MA==::dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzFfcGFkZHJfdG9w"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzJfcGFkZHJfYmFzZQ==::MA==::dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzJfcGFkZHJfYmFzZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzJfcGFkZHJfdG9w::MA==::dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzJfcGFkZHJfdG9w"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzNfcGFkZHJfYmFzZQ==::MA==::dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzNfcGFkZHJfYmFzZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzNfcGFkZHJfdG9w::MA==::dGlnaHRseV9jb3VwbGVkX2RhdGFfbWFzdGVyXzNfcGFkZHJfdG9w"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25fbWFzdGVyX2hpZ2hfcGVyZm9ybWFuY2VfcGFkZHJfYmFzZQ==::MA==::aW5zdHJ1Y3Rpb25fbWFzdGVyX2hpZ2hfcGVyZm9ybWFuY2VfcGFkZHJfYmFzZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25fbWFzdGVyX2hpZ2hfcGVyZm9ybWFuY2VfcGFkZHJfdG9w::MA==::aW5zdHJ1Y3Rpb25fbWFzdGVyX2hpZ2hfcGVyZm9ybWFuY2VfcGFkZHJfdG9w"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YV9tYXN0ZXJfaGlnaF9wZXJmb3JtYW5jZV9wYWRkcl9iYXNl::MA==::ZGF0YV9tYXN0ZXJfaGlnaF9wZXJmb3JtYW5jZV9wYWRkcl9iYXNl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YV9tYXN0ZXJfaGlnaF9wZXJmb3JtYW5jZV9wYWRkcl90b3A=::MA==::ZGF0YV9tYXN0ZXJfaGlnaF9wZXJmb3JtYW5jZV9wYWRkcl90b3A="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRBYnNvbHV0ZUFkZHI=::MzM1NTQ0MzIw::UmVzZXQgdmVjdG9y"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uQWJzb2x1dGVBZGRy::MTM0MjE4MDE2::RXhjZXB0aW9uIHZlY3Rvcg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "YnJlYWtBYnNvbHV0ZUFkZHI=::NDA2ODU3NzYw::QnJlYWsgdmVjdG9y"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "bW11X1RMQk1pc3NFeGNBYnNBZGRy::MA==::RmFzdCBUTEIgTWlzcyBFeGNlcHRpb24gdmVjdG9y"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2J1cnN0c19kZXJpdmVk::ZmFsc2U=::ZGNhY2hlX2J1cnN0c19kZXJpdmVk"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3NpemVfZGVyaXZlZA==::MjA0OA==::ZGNhY2hlX3NpemVfZGVyaXZlZA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "YnJlYWtTbGF2ZV9kZXJpdmVk::Y3B1LmRlYnVnX21lbV9zbGF2ZQ==::YnJlYWtTbGF2ZV9kZXJpdmVk"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2xpbmVTaXplX2Rlcml2ZWQ=::MzI=::ZGNhY2hlX2xpbmVTaXplX2Rlcml2ZWQ="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pb3JlZ2lvbkJ5cGFzc0RDYWNoZQ==::ZmFsc2U=::c2V0dGluZ19pb3JlZ2lvbkJ5cGFzc0RDYWNoZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19iaXQzMUJ5cGFzc0RDYWNoZQ==::dHJ1ZQ==::c2V0dGluZ19iaXQzMUJ5cGFzc0RDYWNoZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dHJhbnNsYXRlX29u::InN5bnRoZXNpcyB0cmFuc2xhdGVfb24i::dHJhbnNsYXRlX29u"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dHJhbnNsYXRlX29mZg==::InN5bnRoZXNpcyB0cmFuc2xhdGVfb2ZmIg==::dHJhbnNsYXRlX29mZg=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfb25jaGlwdHJhY2U=::ZmFsc2U=::ZGVidWdfb25jaGlwdHJhY2U="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfb2ZmY2hpcHRyYWNl::ZmFsc2U=::ZGVidWdfb2ZmY2hpcHRyYWNl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfaW5zdHRyYWNl::ZmFsc2U=::ZGVidWdfaW5zdHRyYWNl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVidWdfZGF0YXRyYWNl::ZmFsc2U=::ZGVidWdfZGF0YXRyYWNl"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdEFkZHJXaWR0aA==::Mjk=::aW5zdEFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZmFBZGRyV2lkdGg=::MQ==::ZmFBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YUFkZHJXaWR0aA==::Mjk=::ZGF0YUFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMEFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMEFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMUFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMUFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMkFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMkFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyM0FkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyM0FkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjBBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjBBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjFBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjFBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjJBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjJBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjNBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjNBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdkZHIzX3JhbS5hdmwnIHN0YXJ0PScweDgwMDAwMDAnIGVuZD0nMHgxMDAwMDAwMCcgdHlwZT0nYWx0ZXJhX21lbV9pZl9kZHIzX2VtaWYuYXZsJyAvPjxzbGF2ZSBuYW1lPSdleHRfZmxhc2guYXZsX21lbScgc3RhcnQ9JzB4MTQwMDAwMDAnIGVuZD0nMHgxODAwMDAwMCcgdHlwZT0nYWx0ZXJhX2dlbmVyaWNfcXVhZF9zcGlfY29udHJvbGxlci5hdmxfbWVtJyAvPjxzbGF2ZSBuYW1lPSdvbmNoaXBfZmxhc2guZGF0YScgc3RhcnQ9JzB4MTgyMDAwMDAnIGVuZD0nMHgxODM2MDAwMCcgdHlwZT0nYWx0ZXJhX29uY2hpcF9mbGFzaC5kYXRhJyAvPjxzbGF2ZSBuYW1lPSdjcHUuZGVidWdfbWVtX3NsYXZlJyBzdGFydD0nMHgxODQwMjgwMCcgZW5kPScweDE4NDAzMDAwJyB0eXBlPSdhbHRlcmFfbmlvczJfZ2VuMi5kZWJ1Z19tZW1fc2xhdmUnIC8+PC9hZGRyZXNzLW1hcD4=::aW5zdFNsYXZlTWFwUGFyYW0="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::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::ZGF0YVNsYXZlTWFwUGFyYW0="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::NTAwMDAwMDA=::Y2xvY2tGcmVxdWVuY3k="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::TUFYIDEw::ZGV2aWNlRmFtaWx5TmFtZQ=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::NjE=::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw=="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm8=::PGluZm8vPg==::Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm8="
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv::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::ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfY2hhbm5lbF9hZGFwdGVyXzA="
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIENoYW5uZWwgQWRhcHRlcg=="
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5DaGFubmVsV2lkdGg=::MQ==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5NYXhDaGFubmVs::MQ==::TWF4IENoYW5uZWw="
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0Q2hhbm5lbFdpZHRo::MA==::Q2hhbm5lbCBTaWduYWwgV2lkdGggKGJpdHMp"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "b3V0TWF4Q2hhbm5lbA==::MQ==::TWF4IENoYW5uZWw="
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5CaXRzUGVyU3ltYm9s::OA==::RGF0YSBCaXRzIFBlciBTeW1ib2w="
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VQYWNrZXRz::dHJ1ZQ==::SW5jbHVkZSBQYWNrZXQgU3VwcG9ydA=="
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eVBvcnQ=::WUVT::SW5jbHVkZSBFbXB0eSBTaWduYWw="
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VFbXB0eQ==::ZmFsc2U=::aW5Vc2VFbXB0eQ=="
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5TeW1ib2xzUGVyQmVhdA==::NA==::RGF0YSBTeW1ib2xzIFBlciBCZWF0"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5Vc2VSZWFkeQ==::dHJ1ZQ==::U3VwcG9ydCBCYWNrcHJlc3N1cmUgd2l0aCB0aGUgcmVhZHkgc2lnbmFs"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5SZWFkeUxhdGVuY3k=::MA==::UmVhZHkgTGF0ZW5jeQ=="
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5FcnJvcldpZHRo::MQ==::RXJyb3IgU2lnbmFsIFdpZHRoIChiaXRzKQ=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfY2FsaWJyYXRpb25fcmFt"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "T24tQ2hpcCBNZW1vcnkgKFJBTSBvciBST00pIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "YWxsb3dJblN5c3RlbU1lbW9yeUNvbnRlbnRFZGl0b3I=::ZmFsc2U=::RW5hYmxlIEluLVN5c3RlbSBNZW1vcnkgQ29udGVudCBFZGl0b3IgZmVhdHVyZQ=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "YmxvY2tUeXBl::QVVUTw==::QmxvY2sgdHlwZQ=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YVdpZHRo::MTY=::U2xhdmUgUzEgRGF0YSB3aWR0aA=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGF0YVdpZHRoMg==::MTY=::U2xhdmUgUzIgRGF0YSB3aWR0aA=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZHVhbFBvcnQ=::dHJ1ZQ==::RHVhbC1wb3J0IGFjY2Vzcw=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZW5hYmxlRGlmZldpZHRo::ZmFsc2U=::RW5hYmxlIGRpZmZlcmVudCB3aWR0aCBmb3IgRHVhbC1wb3J0IGFjY2Vzcw=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lbmFibGVEaWZmV2lkdGg=::ZmFsc2U=::ZGVyaXZlZF9lbmFibGVEaWZmV2lkdGg="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "aW5pdE1lbUNvbnRlbnQ=::ZmFsc2U=::SW5pdGlhbGl6ZSBtZW1vcnkgY29udGVudA=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "bWVtb3J5U2l6ZQ==::NjQw::VG90YWwgbWVtb3J5IHNpemU="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVhZER1cmluZ1dyaXRlTW9kZQ==::RE9OVF9DQVJF::UmVhZCBEdXJpbmcgV3JpdGUgTW9kZQ=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2ltQWxsb3dNUkFNQ29udGVudHNGaWxl::ZmFsc2U=::QWxsb3cgTVJBTSBjb250ZW50cyBmaWxlIGZvciBzaW11bGF0aW9u"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2ltTWVtSW5pdE9ubHlGaWxlbmFtZQ==::MA==::U2ltdWxhdGlvbiBtZW1pbml0IG9ubHkgaGFzIGZpbGVuYW1l"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2luZ2xlQ2xvY2tPcGVyYXRpb24=::ZmFsc2U=::U2luZ2xlIGNsb2NrIG9wZXJhdGlvbg=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zaW5nbGVDbG9ja09wZXJhdGlvbg==::ZmFsc2U=::ZGVyaXZlZF9zaW5nbGVDbG9ja09wZXJhdGlvbg=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2xhdmUxTGF0ZW5jeQ==::MQ==::U2xhdmUgczEgTGF0ZW5jeQ=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2xhdmUyTGF0ZW5jeQ==::MQ==::U2xhdmUgczIgTGF0ZW5jeQ=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "d3JpdGFibGU=::dHJ1ZQ==::VHlwZQ=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZWNjX2VuYWJsZWQ=::ZmFsc2U=::RXh0ZW5kIHRoZSBkYXRhIHdpZHRoIHRvIHN1cHBvcnQgRUNDIGJpdHM="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "cmVzZXRyZXF1ZXN0X2VuYWJsZWQ=::ZmFsc2U=::UmVzZXQgUmVxdWVzdA=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "YXV0b0luaXRpYWxpemF0aW9uRmlsZU5hbWU=::cV9zeXNfY2FsaWJyYXRpb25fcmFt::YXV0b0luaXRpYWxpemF0aW9uRmlsZU5hbWU="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5::TUFYIDEw::ZGV2aWNlRmFtaWx5"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXM=::QUREUkVTU19TVEFMTCAxIEFEVkFOQ0VEX0lORk8gMCBBTExPV1NfQ09NUElMSU5HX09USEVSX0ZBTUlMWV9JUCAxIEFOWV9RRlAgMCBDRUxMX0xFVkVMX0JBQ0tfQU5OT1RBVElPTl9ESVNBQkxFRCAwIENPTVBJTEVSX1NVUFBPUlQgMSBEU1AgMSBEU1BfU0hJRlRFUl9CTE9DSyAwIERVTVBfQVNNX0xBQl9CSVRTX0ZPUl9QT1dFUiAxIEVNVUwgMCBFTkFCTEVfQURWQU5DRURfSU9fQU5BTFlTSVNfR1VJX0ZFQVRVUkVTIDAgRU5BQkxFX1BJTl9QTEFOTkVSIDAgRU5HSU5FRVJJTkdfU0FNUExFIDAgRVBDUyAxIEVTQiAwIEZBS0UxIDAgRkFLRTIgMCBGQUtFMyAwIEZBTUlMWV9MRVZFTF9JTlNUQUxMQVRJT05fT05MWSAwIEZBU1RFU1QgMCBGSU5BTF9USU1JTkdfTU9ERUwgMCBGSVRURVJfVVNFX0ZBTExJTkdfRURHRV9ERUxBWSAxIEZQUF9DT01QTEVURUxZX1BMQUNFU19BTkRfUk9VVEVTX1BFUklQSEVSWSAwIEdFTkVSQVRFX0RDX09OX0NVUlJFTlRfV0FSTklOR19GT1JfSU5URVJOQUxfQ0xBTVBJTkdfRElPREUgMCBIQVJEQ09QWSAwIEhBU18xOF9CSVRfTVVMVFMgMCBIQVNfQUNFX1NVUFBPUlQgMSBIQVNfQUNUSVZFX1BBUkFMTEVMX0ZMQVNIX1NVUFBPUlQgMCBIQVNfQURKVVNUQUJMRV9PVVRQVVRfSU9fVElNSU5HX01FQVNfUE9JTlQgMSBIQVNfQURWQU5DRURfSU9fSU5WRVJURURfQ09STkVSIDAgSEFTX0FEVkFOQ0VEX0lPX1BPV0VSX1NVUFBPUlQgMSBIQVNfQURWQU5DRURfSU9fVElNSU5HX1NVUFBPUlQgMSBIQVNfQUxNX1NVUFBPUlQgMCBIQVNfQVRPTV9BTkRfUk9VVElOR19QT1dFUl9NT0RFTEVEX1RPR0VUSEVSIDAgSEFTX0FVVE9fREVSSVZFX0NMT0NLX1VOQ0VSVEFJTlRZX1NVUFBPUlQgMCBIQVNfQVVUT19GSVRfU1VQUE9SVCAxIEhBU19CQUxBTkNFRF9PUFRfVEVDSE5JUVVFX1NVUFBPUlQgMSBIQVNfQkVORUZJQ0lBTF9TS0VXX1NVUFBPUlQgMSBIQVNfQklUTEVWRUxfRFJJVkVfU1RSRU5HVEhfQ09OVFJPTCAwIEhBU19CU0RMX0ZJTEVfR0VORVJBVElPTiAwIEhBU19DREJfUkVfTkVUV09SS19QUkVTRVJWQVRJT05fU1VQUE9SVCAwIEhBU19DR0FfU1VQUE9SVCAxIEhBU19DSEVDS19ORVRMSVNUX1NVUFBPUlQgMCBIQVNfQ0xPQ0tfUkVHSU9OX0NIRUNLRVJfRU5BQkxFRCAxIEhBU19DT1JFX0pVTkNUSU9OX1RFTVBfREVSQVRJTkcgMCBIQVNfQ1JPU1NUQUxLX1NVUFBPUlQgMCBIQVNfQ1VTVE9NX1JFR0lPTl9TVVBQT1JUIDEgSEFTX0RBUF9KVEFHX0ZST01fSFBTIDAgSEFTX0RBVEFfRFJJVkVOX0FDVlFfSFNTSV9TVVBQT1JUIDAgSEFTX0REQl9GRElfU1VQUE9SVCAwIEhBU19ERVNJR05fQU5BTFlaRVJfU1VQUE9SVCAwIEhBU19ERVRBSUxFRF9JT19SQUlMX1BPV0VSX01PREVMIDEgSEFTX0RFVEFJTEVEX0xFSU1fU1RBVElDX1BPV0VSX01PREVMIDAgSEFTX0RFVEFJTEVEX0xFX1BPV0VSX01PREVMIDEgSEFTX0RFVEFJTEVEX1JPVVRJTkdfTVVYX1NUQVRJQ19QT1dFUl9NT0RFTCAwIEhBU19ERVRBSUxFRF9USEVSTUFMX0NJUkNVSVRfUEFSQU1FVEVSX1NVUFBPUlQgMSBIQVNfREVWSUNFX01JR1JBVElPTl9TVVBQT1JUIDEgSEFTX0RJQUdPTkFMX01JR1JBVElPTl9TVVBQT1JUIDAgSEFTX0VNSUZfVE9PTEtJVF9TVVBQT1JUIDAgSEFTX0VSUk9SX0RFVEVDVElPTl9TVVBQT1JUIDAgSEFTX0ZBTUlMWV9WQVJJQU5UX01JR1JBVElPTl9TVVBQT1JUIDAgSEFTX0ZBTk9VVF9GUkVFX05PREVfU1VQUE9SVCAxIEhBU19GQVNUX0ZJVF9TVVBQT1JUIDEgSEFTX0ZJVFRFUl9FQ09fU1VQUE9SVCAxIEhBU19GSVRfTkVUTElTVF9PUFRfUkVUSU1FX1NVUFBPUlQgMSBIQVNfRklUX05FVExJU1RfT1BUX1NVUFBPUlQgMSBIQVNfRk9STUFMX1ZFUklGSUNBVElPTl9TVVBQT1JUIDAgSEFTX0ZQR0FfWENIQU5HRV9TVVBQT1JUIDAgSEFTX0ZTQUNfTFVUUkFNX1JFR0lTVEVSX1BBQ0tJTkdfU1VQUE9SVCAwIEhBU19GVUxMX0RBVF9NSU5fVElNSU5HX1NVUFBPUlQgMSBIQVNfRlVMTF9JTkNSRU1FTlRBTF9ERVNJR05fU1VQUE9SVCAxIEhBU19GVU5DVElPTkFMX1NJTVVMQVRJT05fU1VQUE9SVCAxIEhBU19GVU5DVElPTkFMX1ZFUklMT0dfU0lNVUxBVElPTl9TVVBQT1JUIDAgSEFTX0ZVTkNUSU9OQUxfVkhETF9TSU1VTEFUSU9OX1NVUFBPUlQgMCBIQVNfR0xJVENIX0ZJTFRFUklOR19TVVBQT1JUIDEgSEFTX0hBUkRDT1BZSUlfU1VQUE9SVCAwIEhBU19IQ19SRUFEWV9TVVBQT1JUIDAgSEFTX0hJR0hfU1BFRURfTE9XX1BPV0VSX1RJTEVfU1VQUE9SVCAwIEhBU19IT0xEX1RJTUVfQVZPSURBTkNFX0FDUk9TU19DTE9DS19TUElORV9TVVBQT1JUIDEgSEFTX0hTUElDRV9XUklURVJfU1VQUE9SVCAwIEhBU19IU1NJX1BPV0VSX0NBTENVTEFUT1IgMSBIQVNfSUJJU09fV1JJVEVSX1NVUFBPUlQgMCBIQVNfSUNEX0RBVEFfSVAgMCBIQVNfSURCX1NVUFBPUlQgMCBIQVNfSU5DUkVNRU5UQUxfREFUX1NVUFBPUlQgMSBIQVNfSU5DUkVNRU5UQUxfU1lOVEhFU0lTX1NVUFBPUlQgMSBIQVNfSU9fQVNTSUdOTUVOVF9BTkFMWVNJU19TVVBQT1JUIDEgSEFTX0lPX0RFQ09ERVIgMCBIQVNfSU9fUExBQ0VNRU5UX09QVElNSVpBVElPTl9TVVBQT1JUIDEgSEFTX0lPX1BMQUNFTUVOVF9VU0lOR19HRU9NRVRSWV9SVUxFIDAgSEFTX0lPX1BMQUNFTUVOVF9VU0lOR19QSFlTSUNfUlVMRSAwIEhBU19JT19TTUFSVF9SRUNPTVBJTEVfU1VQUE9SVCAwIEhBU19KSVRURVJfU1VQUE9SVCAxIEhBU19KVEFHX1NMRF9IVUJfU1VQUE9SVCAxIEhBU19MT0dJQ19MT0NLX1NVUFBPUlQgMSBIQVNfTUlDUk9QUk9DRVNTT1IgMCBIQVNfTUlGX1NNQVJUX0NPTVBJTEVfU1VQUE9SVCAxIEhBU19NSU5NQVhfVElNSU5HX01PREVMSU5HX1NVUFBPUlQgMSBIQVNfTUlOX1RJTUlOR19BTkFMWVNJU19TVVBQT1JUIDEgSEFTX01VWF9SRVNUUlVDVFVSRV9TVVBQT1JUIDEgSEFTX05BRERFUl9TVFlMRV9DTE9DS0lORyAwIEhBU19OQURERVJfU1RZTEVfRkYgMCBIQVNfTkFEREVSX1NUWUxFX0xDRUxMX0NPTUIgMCBIQVNfTkVXX0NEQl9OQU1FX0ZPUl9NMjBLX1NDTFIgMCBIQVNfTkVXX0hDX0ZMT1dfU1VQUE9SVCAwIEhBU19ORVdfU0VSREVTX01BWF9SRVNPVVJDRV9DT1VOVF9SRVBPUlRJTkdfU1VQUE9SVCAxIEhBU19ORVdfVlBSX1NVUFBPUlQgMSBIQVNfTk9OU09DS0VUX1RFQ0hOT0xPR1lfTUlHUkFUSU9OX1NVUFBPUlQgMCBIQVNfTk9fSEFSREJMT0NLX1BBUlRJVElPTl9TVVBQT1JUIDAgSEFTX05PX0pUQUdfVVNFUkNPREVfU1VQUE9SVCAwIEhBU19PUEVSQVRJTkdfU0VUVElOR1NfQU5EX0NPTkRJVElPTlNfUkVQT1JUSU5HX1NVUFBPUlQgMSBIQVNfUEFEX0xPQ0FUSU9OX0FTU0lHTk1FTlRfU1VQUE9SVCAwIEhBU19QQVJUSUFMX1JFQ09ORklHX1NVUFBPUlQgMCBIQVNfUEFTU0lWRV9QQVJBTExFTF9TVVBQT1JUIDAgSEFTX1BETl9NT0RFTF9TVEFUVVMgMSBIQVNfUEhZU0lDQUxfREVTSUdOX1BMQU5ORVJfU1VQUE9SVCAwIEhBU19QSFlTSUNBTF9ORVRMSVNUX09VVFBVVCAwIEhBU19QSFlTSUNBTF9ST1VUSU5HX1NVUFBPUlQgMCBIQVNfUElOX1NQRUNJRklDX1ZPTFRBR0VfU1VQUE9SVCAxIEhBU19QTERNX1JFRl9TVVBQT1JUIDAgSEFTX1BPV0VSX0JJTk5JTkdfTElNSVRTX0RBVEEgMSBIQVNfUE9XRVJfRVNUSU1BVElPTl9TVVBQT1JUIDEgSEFTX1BSRUxJTUlOQVJZX0NMT0NLX1VOQ0VSVEFJTlRZX05VTUJFUlMgMCBIQVNfUFJFX0ZJVFRFUl9GUFBfU1VQUE9SVCAwIEhBU19QUkVfRklUVEVSX0xVVFJBTV9ORVRMSVNUX0NIRUNLRVJfRU5BQkxFRCAwIEhBU19QVkFfU1VQUE9SVCAxIEhBU19RVUFSVFVTX0hJRVJBUkNISUNBTF9ERVNJR05fU1VQUE9SVCAwIEhBU19SQVBJRF9SRUNPTVBJTEVfU1VQUE9SVCAwIEhBU19SQ0ZfU1VQUE9SVCAxIEhBU19SQ0ZfU1VQUE9SVF9GT1JfREVCVUdHSU5HIDAgSEFTX1JFRF9CTEFDS19TRVBBUkFUSU9OX1NVUFBPUlQgMCBIQVNfUkVfTEVWRUxfVElNSU5HX0dSQVBIX1NVUFBPUlQgMSBIQVNfUklTRUZBTExfREVMQVlfU1VQUE9SVCAxIEhBU19TSUdOQUxfUFJPQkVfU1VQUE9SVCAxIEhBU19TSUdOQUxfVEFQX1NVUFBPUlQgMSBIQVNfU0lNVUxBVE9SX1NVUFBPUlQgMCBIQVNfU1BMSVRfSU9fU1VQUE9SVCAxIEhBU19TUExJVF9MQ19TVVBQT1JUIDEgSEFTX1NUUklDVF9QUkVTRVJWQVRJT05fU1VQUE9SVCAxIEhBU19TWU5USEVTSVNfT05fQVRPTVMgMCBIQVNfU1lOVEhfRlNZTl9ORVRMSVNUX09QVF9TVVBQT1JUIDEgSEFTX1NZTlRIX05FVExJU1RfT1BUX1JFVElNRV9TVVBQT1JUIDAgSEFTX1NZTlRIX05FVExJU1RfT1BUX1NVUFBPUlQgMSBIQVNfVENMX0ZJVFRFUl9TVVBQT1JUIDAgSEFTX1RFQ0hOT0xPR1lfTUlHUkFUSU9OX1NVUFBPUlQgMCBIQVNfVEVNUExBVEVEX1JFR0lTVEVSX1BBQ0tJTkdfU1VQUE9SVCAxIEhBU19USU1FX0JPUlJPV0lOR19TVVBQT1JUIDAgSEFTX1RJTUlOR19EUklWRU5fU1lOVEhFU0lTX1NVUFBPUlQgMSBIQVNfVElNSU5HX0lORk9fU1VQUE9SVCAxIEhBU19USU1JTkdfT1BFUkFUSU5HX0NPTkRJVElPTlMgMSBIQVNfVElNSU5HX1NJTVVMQVRJT05fU1VQUE9SVCAwIEhBU19USVRBTl9CQVNFRF9NQUNfUkVHSVNURVJfUEFDS0VSX1NVUFBPUlQgMCBIQVNfVTJCMl9TVVBQT1JUIDAgSEFTX1VTRVJfSElHSF9TUEVFRF9MT1dfUE9XRVJfVElMRV9TVVBQT1JUIDAgSEFTX1VTRV9GSVRURVJfSU5GT19TVVBQT1JUIDEgSEFTX1ZDQ1BEX1BPV0VSX1JBSUwgMCBIQVNfVkVSVElDQUxfTUlHUkFUSU9OX1NVUFBPUlQgMSBIQVNfVklFV0RSQVdfU1lNQk9MX1NVUFBPUlQgMCBIQVNfVklPX1NVUFBPUlQgMSBIQVNfVklSVFVBTF9ERVZJQ0VTIDAgSEFTX1dZU0lXWUdfREZGRUFTX1NVUFBPUlQgMSBIQVNfWElCSVNPMl9XUklURVJfU1VQUE9SVCAxIEhBU19YSUJJU09fV1JJVEVSX1NVUFBPUlQgMCBJRlBfVVNFX0xFR0FDWV9JT19DSEVDS0VSIDAgSU5DUkVNRU5UQUxfREVTSUdOX1NVUFBPUlRTX0NPTVBBVElCTEVfQ09OU1RSQUlOVFMgMSBJTlNUQUxMRUQgMCBJTlRFUk5BTF9QT0ZfU1VQUE9SVF9FTkFCTEVEIDAgSU5URVJOQUxfVVNFX09OTFkgMCBJU1NVRV9NSUxJVEFSWV9URU1QRVJBVFVSRV9XQVJOSU5HIDAgSVNfQkFSRV9ESUUgMCBJU19DT05GSUdfUk9NIDAgSVNfREVGQVVMVF9GQU1JTFkgMCBJU19GT1JfSU5URVJOQUxfVEVTVElOR19PTkxZIDAgSVNfSEFSRENPUFlfRkFNSUxZIDAgSVNfSEJHQV9QQUNLQUdFIDAgSVNfSElHSF9DVVJSRU5UX1BBUlQgMCBJU19KV19ORVdfQklOTklOR19QTEFOIDAgSVNfTE9XX1BPV0VSX1BBUlQgMCBJU19SRVZFX1NJTElDT04gMCBJU19TRE1fT05MWV9QQUNLQUdFIDAgSVNfU01JX1BBUlQgMCBMT0FEX0JMS19UWVBFX0RBVEFfRlJPTV9BVE9NX1dZU19JTkZPIDAgTFZEU19JTyAwIE0xMEtfTUVNT1JZIDAgTTE0NEtfTUVNT1JZIDAgTTIwS19NRU1PUlkgMCBNNEtfTUVNT1JZIDAgTTUxMl9NRU1PUlkgMCBNOUtfTUVNT1JZIDEgTUxBQl9NRU1PUlkgMCBNUkFNX01FTU9SWSAwIE5PVF9MSVNURUQgMCBOT1RfTUlHUkFUQUJMRSAwIE5PX0ZJVFRFUl9ERUxBWV9DQUNIRV9HRU5FUkFURUQgMCBOT19QSU5fT1VUIDAgTk9fUE9GIDAgTk9fUlBFX1NVUFBPUlQgMCBOT19TVVBQT1JUX0ZPUl9MT0dJQ0xPQ0tfQ09OVEVOVF9CQUNLX0FOTk9UQVRJT04gMCBOT19TVVBQT1JUX0ZPUl9TVEFfQ0xPQ0tfVU5DRVJUQUlOVFlfQ0hFQ0sgMCBOT19URENfU1VQUE9SVCAwIFBPU1RGSVRfQkFLX0RBVEFCQVNFX0VYUE9SVF9FTkFCTEVEIDAgUE9TVE1BUF9CQUtfREFUQUJBU0VfRVhQT1JUX0VOQUJMRUQgMCBQUk9HUkFNTUVSX09OTFkgMCBQUk9HUkFNTUVSX1NVUFBPUlQgMSBQVkFfU1VQUE9SVFNfT05MWV9TVUJTRVRfT0ZfQVRPTVMgMCBRRklUX0lOX0RFVkVMT1BNRU5UIDAgUU1BUF9JTl9ERVZFTE9QTUVOVCAwIFJBTV9MT0dJQ0FMX05BTUVfQ0hFQ0tJTkdfSU5fQ1VUX0VOQUJMRUQgMSBSRVBPUlRTX01FVEFTVEFCSUxJVFlfTVRCRiAxIFJFUVVJUkVTX0lOU1RBTExBVElPTl9QQVRDSCAwIFJFUVVJUkVTX0xJU1RfT0ZfVEVNUEVSQVRVUkVfQU5EX1ZPTFRBR0VfT1BFUkFUSU5HX0NPTkRJVElPTlMgMSBSRVFVSVJFX1FVQVJUVVNfSElFUkFSQ0hJQ0FMX0RFU0lHTiAwIFJFUVVJUkVfU1BFQ0lBTF9IQU5ETElOR19GT1JfTE9DQUxfTEFCTElORSAxIFJFU0VSVkVTX1NJR05BTF9QUk9CRV9QSU5TIDAgUkVTT0xWRV9NQVhfRkFOT1VUX0VBUkxZIDEgUkVTT0xWRV9NQVhfRkFOT1VUX0xBVEUgMCBSRVNQRUNUU19GSVhFRF9TSVpFRF9MT0NLRURfTE9DQVRJT05fTE9HSUNMT0NLIDEgUkVTVFJJQ1RFRF9VU0VSX1NFTEVDVElPTiAwIFJFU1RSSUNUX1BBUlRJQUxfUkVDT05GSUcgMCBSSVNFRkFMTF9TVVBQT1JUX0lTX0hJRERFTiAwIFNIT1dfSElEREVOX0ZBTUlMWV9JTl9QUk9HUkFNTUVSIDAgU1RSSUNUX1RJTUlOR19EQl9DSEVDS1MgMCBTVVBQT1JUU18xUDBWX0lPU1REIDAgU1VQUE9SVFNfQURESVRJT05BTF9PUFRJT05TX0ZPUl9VTlVTRURfSU8gMCBTVVBQT1JUU19DUkMgMSBTVVBQT1JUU19ESUZGRVJFTlRJQUxfQUlPVF9CT0FSRF9UUkFDRV9NT0RFTCAxIFNVUFBPUlRTX0RTUF9CQUxBTkNJTkdfQkFDS19BTk5PVEFUSU9OIDAgU1VQUE9SVFNfR0VORVJBVElPTl9PRl9FQVJMWV9QT1dFUl9FU1RJTUFUT1JfRklMRSAxIFNVUFBPUlRTX0dMT0JBTF9TSUdOQUxfQkFDS19BTk5PVEFUSU9OIDAgU1VQUE9SVFNfSElQSV9SRVRJTUlORyAwIFNVUFBPUlRTX0xJQ0VOU0VfRlJFRV9QQVJUSUFMX1JFQ09ORklHIDAgU1VQUE9SVFNfTUFDX0NIQUlOX09VVF9BRERFUiAwIFNVUFBPUlRTX05FV19CSU5OSU5HX1BMQU4gMCBTVVBQT1JUU19SQU1fUEFDS0lOR19CQUNLX0FOTk9UQVRJT04gMCBTVVBQT1JUU19SRUdfUEFDS0lOR19CQUNLX0FOTk9UQVRJT04gMCBTVVBQT1JUU19TSUdOQUxQUk9CRV9SRUdJU1RFUl9QSVBFTElOSU5HIDEgU1VQUE9SVFNfU0lOR0xFX0VOREVEX0FJT1RfQk9BUkRfVFJBQ0VfTU9ERUwgMSBTVVBQT1JUU19VU0VSX01BTlVBTF9MT0dJQ19EVVBMSUNBVElPTiAxIFNVUFBPUlRTX1ZJRCAwIFNVUFBPUlRfSElHSF9TUEVFRF9IUFMgMCBUTVZfUlVOX0NVU1RPTUlaQUJMRV9WSUVXRVIgMCBUTVZfUlVOX0lOVEVSTkFMX0RFVEFJTFMgMSBUTVZfUlVOX0lOVEVSTkFMX0RFVEFJTFNfT05fSU8gMCBUTVZfUlVOX0lOVEVSTkFMX0RFVEFJTFNfT05fSU9CVUYgMSBUTVZfUlVOX0lOVEVSTkFMX0RFVEFJTFNfT05fTENFTEwgMCBUTVZfUlVOX0lOVEVSTkFMX0RFVEFJTFNfT05fTFJBTSAwIFRSQU5TQ0VJVkVSXzNHX0JMT0NLIDAgVFJBTlNDRUlWRVJfNkdfQkxPQ0sgMCBVU0VTX0FDVl9GT1JfRkxFRCAxIFVTRVNfQURCX0ZPUl9CQUNLX0FOTk9UQVRJT04gMSBVU0VTX0FMVEVSQV9MTlNJTSAwIFVTRVNfQVNJQ19ST1VUSU5HX1BPV0VSX0NBTENVTEFUT1IgMCBVU0VTX0RBVEFfRFJJVkVOX1BMTF9DT01QVVRBVElPTl9VVElMIDEgVVNFU19ERVYgMSBVU0VTX0lDUF9GT1JfRUNPX0ZJVFRFUiAwIFVTRVNfTElCRVJUWV9USU1JTkcgMCBVU0VTX05FVFdPUktfUk9VVElOR19QT1dFUl9DQUxDVUxBVE9SIDAgVVNFU19QQVJUX0lORk9fRk9SX0RJU1BMQVlJTkdfQ09SRV9WT0xUQUdFX1ZBTFVFIDAgVVNFU19QT1dFUl9TSUdOQUxfQUNUSVZJVElFUyAxIFVTRVNfUFZBRkFNMiAwIFVTRVNfU0VDT05EX0dFTkVSQVRJT05fUEFSVF9JTkZPIDAgVVNFU19TRUNPTkRfR0VORVJBVElPTl9QT1dFUl9BTkFMWVpFUiAwIFVTRVNfVEhJUkRfR0VORVJBVElPTl9USU1JTkdfTU9ERUxTX1RJUyAxIFVTRVNfVTJCMl9USU1JTkdfTU9ERUxTIDAgVVNFU19YTUxfRk9STUFUX0ZPUl9FTUlGX1BJTl9NQVBfRklMRSAwIFVTRV9BRFZBTkNFRF9JT19QT1dFUl9CWV9ERUZBVUxUIDEgVVNFX0FEVkFOQ0VEX0lPX1RJTUlOR19CWV9ERUZBVUxUIDEgVVNFX0JBU0VfRkFNSUxZX0REQl9QQVRIIDAgVVNFX09DVF9BVVRPX0NBTElCUkFUSU9OIDEgVVNFX1JFTEFYX0lPX0FTU0lHTk1FTlRfUlVMRVMgMSBVU0VfUklTRUZBTExfT05MWSAxIFVTRV9TRVBBUkFURV9MSVNUX0ZPUl9URUNIX01JR1JBVElPTiAwIFVTRV9TSU5HTEVfQ09NUElMRVJfUEFTU19QTExfTUlGX0ZJTEVfV1JJVEVSIDEgVVNFX1RJVEFOX0lPX0JBU0VEX0lPX1JFR0lTVEVSX1BBQ0tFUl9VVElMIDAgVVNJTkdfMjhOTV9PUl9PTERFUl9USU1JTkdfTUVUSE9ET0xPR1kgMCBXWVNJV1lHX0JVU19XSURUSF9DSEVDS0lOR19JTl9DVVRfRU5BQkxFRCAx::ZGV2aWNlRmVhdHVyZXM="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfYWRkcl93aWR0aA==::OQ==::U2xhdmUgMSBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfYWRkcl93aWR0aDI=::OQ==::U2xhdmUgMiBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfZGF0YV93aWR0aA==::MTY=::U2xhdmUgMSBkYXRhIHdpZHRo"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfZGF0YV93aWR0aDI=::MTY=::U2xhdmUgMiBkYXRhIHdpZHRo"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9ndWlfcmFtX2Jsb2NrX3R5cGU=::QXV0b21hdGlj::ZGVyaXZlZF9ndWlfcmFtX2Jsb2NrX3R5cGU="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pc19oYXJkY29weQ==::ZmFsc2U=::ZGVyaXZlZF9pc19oYXJkY29weQ=="
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pbml0X2ZpbGVfbmFtZQ==::cV9zeXNfY2FsaWJyYXRpb25fcmFtLmhleA==::ZGVyaXZlZF9pbml0X2ZpbGVfbmFtZQ=="
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfYnV0dG9uX3Bpbw=="
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08pIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y2FwdHVyZUVkZ2U=::ZmFsc2U=::U3luY2hyb25vdXNseSBjYXB0dXJl"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::SW5wdXQ=::RGlyZWN0aW9u"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGVJUlE=::ZmFsc2U=::R2VuZXJhdGUgSVJR"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "c2ltRG9UZXN0QmVuY2hXaXJpbmc=::ZmFsc2U=::SGFyZHdpcmUgUElPIGlucHV0cyBpbiB0ZXN0IGJlbmNo"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "d2lkdGg=::OQ==::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::NTAwMDAwMDA=::Y2xvY2tSYXRl"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::ZmFsc2U=::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::dHJ1ZQ==::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::ZmFsc2U=::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::Tk9ORQ==::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::Tk9ORQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::ZmFsc2U=::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_NAME "cV9zeXNfYWx0cGxsX3NoaWZ0"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_DISPLAY_NAME "QUxUUExMIEludGVsIEZQR0EgSVA="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_VERSION "MTkuMQ=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_DESCRIPTION "QXZhbG9uLWNvbXBhdGlibGUgSW50ZWwgUExMIG1vZHVsZS4gRm9yIFN0cmF0aXggViBhbmQgbmV3ZXIgZmFtaWxpZXMsIHVzZSBJbnRlbCBGUEdBIFBMTCBJUA=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0NVU1RPTV9FTEFCT1JBVElPTg==::YWx0cGxsX2F2YWxvbl9lbGFib3JhdGlvbg==::SElEREVOX0NVU1RPTV9FTEFCT1JBVElPTg=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0NVU1RPTV9QT1NUX0VESVQ=::YWx0cGxsX2F2YWxvbl9wb3N0X2VkaXQ=::SElEREVOX0NVU1RPTV9QT1NUX0VESVQ="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5URU5ERURfREVWSUNFX0ZBTUlMWQ==::TUFYIDEw::SU5URU5ERURfREVWSUNFX0ZBTUlMWQ=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "V0lEVEhfQ0xPQ0s=::NQ==::V0lEVEhfQ0xPQ0s="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SU5DTEswX0lOUFVUX0ZSRVFVRU5DWQ==::MjAwMDA=::SU5DTEswX0lOUFVUX0ZSRVFVRU5DWQ=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "T1BFUkFUSU9OX01PREU=::Tk9STUFM::T1BFUkFUSU9OX01PREU="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UExMX1RZUEU=::QVVUTw==::UExMX1RZUEU="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q09NUEVOU0FURV9DTE9DSw==::Q0xLMA==::Q09NUEVOU0FURV9DTE9DSw=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "QkFORFdJRFRIX1RZUEU=::QVVUTw==::QkFORFdJRFRIX1RZUEU="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMF9NVUxUSVBMWV9CWQ==::NQ==::Q0xLMF9NVUxUSVBMWV9CWQ=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMV9NVUxUSVBMWV9CWQ==::MQ==::Q0xLMV9NVUxUSVBMWV9CWQ=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMl9NVUxUSVBMWV9CWQ==::MQ==::Q0xLMl9NVUxUSVBMWV9CWQ=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMF9ESVZJREVfQlk=::MQ==::Q0xLMF9ESVZJREVfQlk="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMV9ESVZJREVfQlk=::Mg==::Q0xLMV9ESVZJREVfQlk="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMl9ESVZJREVfQlk=::MjA=::Q0xLMl9ESVZJREVfQlk="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMF9QSEFTRV9TSElGVA==::MA==::Q0xLMF9QSEFTRV9TSElGVA=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMV9QSEFTRV9TSElGVA==::MTUwMDA=::Q0xLMV9QSEFTRV9TSElGVA=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMl9QSEFTRV9TSElGVA==::MA==::Q0xLMl9QSEFTRV9TSElGVA=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMF9EVVRZX0NZQ0xF::NTA=::Q0xLMF9EVVRZX0NZQ0xF"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMV9EVVRZX0NZQ0xF::NTA=::Q0xLMV9EVVRZX0NZQ0xF"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "Q0xLMl9EVVRZX0NZQ0xF::NTA=::Q0xLMl9EVVRZX0NZQ0xF"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmEw::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmEw"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmEx::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmEx"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmEy::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmEy"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmEz::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmEz"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmE0::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmE0"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGtlbmE1::UE9SVF9VTlVTRUQ=::UE9SVF9jbGtlbmE1"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9leHRjbGsw::UE9SVF9VTlVTRUQ=::UE9SVF9leHRjbGsw"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9leHRjbGsx::UE9SVF9VTlVTRUQ=::UE9SVF9leHRjbGsx"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9leHRjbGsy::UE9SVF9VTlVTRUQ=::UE9SVF9leHRjbGsy"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9leHRjbGsz::UE9SVF9VTlVTRUQ=::UE9SVF9leHRjbGsz"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9DTEtCQUQw::UE9SVF9VTlVTRUQ=::UE9SVF9DTEtCQUQw"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9DTEtCQUQx::UE9SVF9VTlVTRUQ=::UE9SVF9DTEtCQUQx"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGsw::UE9SVF9VU0VE::UE9SVF9jbGsw"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGsx::UE9SVF9VTlVTRUQ=::UE9SVF9jbGsx"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGsy::UE9SVF9VTlVTRUQ=::UE9SVF9jbGsy"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGsz::UE9SVF9VTlVTRUQ=::UE9SVF9jbGsz"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGs0::UE9SVF9VTlVTRUQ=::UE9SVF9jbGs0"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9jbGs1::UE9SVF9VTlVTRUQ=::UE9SVF9jbGs1"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOREFUQQ==::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOREFUQQ=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOREFUQU9VVA==::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOREFUQU9VVA=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FORE9ORQ==::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FORE9ORQ=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9BQ1RJVkVDTE9DSw==::UE9SVF9VTlVTRUQ=::UE9SVF9BQ1RJVkVDTE9DSw=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9DTEtMT1NT::UE9SVF9VTlVTRUQ=::UE9SVF9DTEtMT1NT"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9JTkNMSzE=::UE9SVF9VTlVTRUQ=::UE9SVF9JTkNMSzE="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9JTkNMSzA=::UE9SVF9VU0VE::UE9SVF9JTkNMSzA="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9GQklO::UE9SVF9VTlVTRUQ=::UE9SVF9GQklO"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QTExFTkE=::UE9SVF9VTlVTRUQ=::UE9SVF9QTExFTkE="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9DTEtTV0lUQ0g=::UE9SVF9VTlVTRUQ=::UE9SVF9DTEtTV0lUQ0g="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9BUkVTRVQ=::UE9SVF9VU0VE::UE9SVF9BUkVTRVQ="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QRkRFTkE=::UE9SVF9VTlVTRUQ=::UE9SVF9QRkRFTkE="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOQ0xL::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOQ0xL"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOQUNMUg==::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOQUNMUg=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOUkVBRA==::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOUkVBRA=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOV1JJVEU=::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOV1JJVEU="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9MT0NLRUQ=::UE9SVF9VU0VE::UE9SVF9MT0NLRUQ="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9DT05GSUdVUERBVEU=::UE9SVF9VTlVTRUQ=::UE9SVF9DT05GSUdVUERBVEU="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QSEFTRURPTkU=::UE9SVF9VTlVTRUQ=::UE9SVF9QSEFTRURPTkU="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QSEFTRVNURVA=::UE9SVF9VTlVTRUQ=::UE9SVF9QSEFTRVNURVA="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QSEFTRVVQRE9XTg==::UE9SVF9VTlVTRUQ=::UE9SVF9QSEFTRVVQRE9XTg=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9TQ0FOQ0xLRU5B::UE9SVF9VTlVTRUQ=::UE9SVF9TQ0FOQ0xLRU5B"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "UE9SVF9QSEFTRUNPVU5URVJTRUxFQ1Q=::UE9SVF9VTlVTRUQ=::UE9SVF9QSEFTRUNPVU5URVJTRUxFQ1Q="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVZBTE9OX1VTRV9TRVBBUkFURV9TWVNDTEs=::Tk8=::QVZBTE9OX1VTRV9TRVBBUkFURV9TWVNDTEs="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0NPTlNUQU5UUw==::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::SElEREVOX0NPTlNUQU5UUw=="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX1BSSVZBVEVT::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::SElEREVOX1BSSVZBVEVT"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX1VTRURfUE9SVFM=::VVAjbG9ja2VkIHVzZWQgVVAjYzIgdXNlZCBVUCNjMSB1c2VkIFVQI2MwIHVzZWQgVVAjYXJlc2V0IHVzZWQgVVAjaW5jbGswIHVzZWQ=::SElEREVOX1VTRURfUE9SVFM="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0lTX05VTUVSSUM=::SU4jV0lEVEhfQ0xPQ0sgMSBJTiNDTEswX0RVVFlfQ1lDTEUgMSBJTiNDTEsyX0RJVklERV9CWSAxIElOI1BMTF9UQVJHRVRfSEFSQ09QWV9DSEVDSyAxIElOI0NMSzFfTVVMVElQTFlfQlkgMSBJTiNTV0lUQ0hPVkVSX0NPVU5UX0VESVQgMSBJTiNJTkNMSzBfSU5QVVRfRlJFUVVFTkNZIDEgSU4jUExMX0xWRFNfUExMX0NIRUNLIDEgSU4jUExMX0FVVE9QTExfQ0hFQ0sgMSBJTiNQTExfRkFTVFBMTF9DSEVDSyAxIElOI0NMSzFfRFVUWV9DWUNMRSAxIElOI1BMTF9FTkhQTExfQ0hFQ0sgMSBJTiNDTEsyX01VTFRJUExZX0JZIDEgSU4jRElWX0ZBQ1RPUjIgMSBJTiNESVZfRkFDVE9SMSAxIElOI0RJVl9GQUNUT1IwIDEgSU4jTFZEU19NT0RFX0RBVEFfUkFURV9ESVJUWSAxIElOI0dMT0NLX0NPVU5URVJfRURJVCAxIElOI0NMSzJfRFVUWV9DWUNMRSAxIElOI0NMSzBfRElWSURFX0JZIDEgSU4jTVVMVF9GQUNUT1IyIDEgSU4jTVVMVF9GQUNUT1IxIDEgSU4jTVVMVF9GQUNUT1IwIDEgSU4jQ0xLMF9NVUxUSVBMWV9CWSAxIElOI1VTRV9NSUxfU1BFRURfR1JBREUgMSBJTiNDTEsxX0RJVklERV9CWSAx::SElEREVOX0lTX05VTUVSSUM="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX01GX1BPUlRT::TUYjYXJlc2V0IDEgTUYjY2xrIDEgTUYjbG9ja2VkIDEgTUYjaW5jbGsgMQ==::SElEREVOX01GX1BPUlRT"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0lGX1BPUlRT::SUYjbG9ja2VkIHtvdXRwdXQgMH0gSUYjcmVzZXQge2lucHV0IDB9IElGI2NsayB7aW5wdXQgMH0gSUYjcmVhZGRhdGEge291dHB1dCAzMn0gSUYjd3JpdGUge2lucHV0IDB9IElGI3BoYXNlZG9uZSB7b3V0cHV0IDB9IElGI2FkZHJlc3Mge2lucHV0IDJ9IElGI2MyIHtvdXRwdXQgMH0gSUYjYzEge291dHB1dCAwfSBJRiNjMCB7b3V0cHV0IDB9IElGI3dyaXRlZGF0YSB7aW5wdXQgMzJ9IElGI3JlYWQge2lucHV0IDB9IElGI2FyZXNldCB7aW5wdXQgMH0=::SElEREVOX0lGX1BPUlRT"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "SElEREVOX0lTX0ZJUlNUX0VESVQ=::MA==::SElEREVOX0lTX0ZJUlNUX0VESVQ="
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::TUFYIDEw::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_COMPONENT_PARAMETER "QVVUT19JTkNMS19JTlRFUkZBQ0VfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "q_sys.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_reset_controller.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_reset_synchronizer.v"]
set_global_assignment -library "q_sys" -name SDC_FILE [file join $::quartus(qip_path) "submodules/altera_reset_controller.sdc"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_avalon_st_adapter_001.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_avalon_st_adapter_001_timing_adapter_0.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_avalon_st_adapter_001_timing_adapter_0_fifo.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_avalon_st_adapter.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_avalon_st_adapter_error_adapter_0.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_irq_clock_crosser.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_irq_mapper.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_avalon_st_adapter_020.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_avalon_st_adapter.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_st_handshake_clock_crosser.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_st_clock_crosser.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_st_pipeline_base.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_std_synchronizer_nocut.v"]
set_global_assignment -library "q_sys" -name SDC_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_st_handshake_clock_crosser.sdc"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_width_adapter.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_address_alignment.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_uncompressor.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_rsp_mux_002.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_arbitrator.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_rsp_mux_001.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_rsp_mux.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_rsp_demux_014.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_rsp_demux_010.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_rsp_demux_002.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_rsp_demux_001.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_rsp_demux.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_cmd_mux_014.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_cmd_mux_002.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_cmd_mux_001.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_cmd_mux.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_cmd_demux_002.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_cmd_demux_001.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_cmd_demux.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_adapter.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_adapter_uncmpr.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_adapter_13_1.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_adapter_new.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_incr_burst_converter.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_wrap_burst_converter.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_default_burst_converter.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_st_pipeline_stage.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_traffic_limiter.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_reorder_memory.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_sc_fifo.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_router_028.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_router_022.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_router_010.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_router_009.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_router_008.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_router_004.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_router_002.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_router_001.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_1_router.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_slave_agent.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_master_agent.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_slave_translator.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_master_translator.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_mm_interconnect_0.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/udp_generator.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_tx_multiplexer.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_sysid.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_sys_clk_timer.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/rms.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/stl2sts.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/calibration.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/algo_top_cl_cali_rms.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/sensor_interface.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/serial_rx.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/serial_tx.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/sensor_algo.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/bkg_subtraction_pipe.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/cluster_locate.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/data_caled_ram.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/st_splitter16.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_st_splitter.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/div.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/sqrt.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ram4bkg.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_output_pio.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_onchip_flash_util.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_onchip_flash.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_onchip_flash_avmm_data_controller.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_onchip_flash_avmm_csr_controller.v"]
set_global_assignment -library "q_sys" -name SDC_FILE [file join $::quartus(qip_path) "submodules/altera_onchip_flash.sdc"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rtl/altera_onchip_flash_block.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_msgdma_tx.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/read_master.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/MM_to_ST_Adapter.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/read_burst_control.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_msgdma_prefetcher.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_msgdma_prefetcher_read.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_msgdma_prefetcher_write_back.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_msgdma_prefetcher_fifo.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_msgdma_prefetcher_interrrupt.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_msgdma_prefetcher_csr.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/dispatcher.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/descriptor_buffers.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/csr_block.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/response_block.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/fifo_with_byteenables.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/read_signal_breakout.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/write_signal_breakout.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_msgdma_rx.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/write_master.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/byte_enable_generator.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/ST_to_MM_Adapter.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/write_burst_control.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_frame_timer.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ext_flash.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ext_flash_epcq_controller_instance_name.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_epcq_controller_arb.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_epcq_controller.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ext_flash_asmi_parallel_instance_name.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ext_flash_soft_asmiblock_instance_name.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/soft_asmiblock.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_eth_tse.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_gpio_lite.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_eth_tse_mac.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_clk_cntl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_crc328checker.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_crc328generator.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_crc32ctl8.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_crc32galois8.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_gmii_io.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_lb_read_cntl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_lb_wrt_cntl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_hashing.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_host_control.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_host_control_small.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_mac_control.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_register_map.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_register_map_small.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rx_counter_cntl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_shared_mac_control.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_shared_register_map.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_tx_counter_cntl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_lfsr_10.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_loopback_ff.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_altshifttaps.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_fifoless_mac_rx.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_mac_rx.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_fifoless_mac_tx.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_mac_tx.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_magic_detection.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_mdio.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_mdio_clk_gen.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_mdio_cntl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_top_mdio.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_mii_rx_if.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_mii_tx_if.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_pipeline_base.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_pipeline_stage.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_dpram_16x32.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_dpram_8x32.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_dpram_ecc_16x32.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_fifoless_retransmit_cntl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_retransmit_cntl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rgmii_in1.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rgmii_in4.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_nf_rgmii_module.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rgmii_module.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rgmii_out1.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rgmii_out4.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rx_ff.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rx_min_ff.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rx_ff_cntrl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rx_ff_cntrl_32.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rx_ff_cntrl_32_shift16.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rx_ff_length.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_rx_stat_extract.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_timing_adapter32.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_timing_adapter8.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_timing_adapter_fifo32.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_timing_adapter_fifo8.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_top_1geth.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_top_fifoless_1geth.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_top_w_fifo.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_top_w_fifo_10_100_1000.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_top_wo_fifo.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_top_wo_fifo_10_100_1000.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_top_gen_host.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_tx_ff.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_tx_min_ff.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_tx_ff_cntrl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_tx_ff_cntrl_32.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_tx_ff_cntrl_32_shift16.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_tx_ff_length.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_tx_ff_read_cntl.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_tx_stat_extract.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_eth_tse_std_synchronizer.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_eth_tse_std_synchronizer_bundle.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_eth_tse_ptp_std_synchronizer.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_false_path_marker.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_reset_synchronizer.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_clock_crosser.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_a_fifo_13.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_a_fifo_24.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_a_fifo_34.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_a_fifo_opt_1246.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_a_fifo_opt_14_44.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_a_fifo_opt_36_10.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_gray_cnt.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_sdpm_altsyncram.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_altsyncram_dpm_fifo.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_bin_cnt.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ph_calculator.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_sdpm_gen.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_dec_x10.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x10.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x10_wrapper.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_dec_x14.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x14.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x14_wrapper.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_dec_x2.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x2.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x2_wrapper.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_dec_x23.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x23.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x23_wrapper.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_dec_x36.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x36.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x36_wrapper.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_dec_x40.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x40.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x40_wrapper.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_dec_x30.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x30.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_enc_x30_wrapper.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_tse_ecc_status_crosser.v"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/altera_tse_top_wo_fifo_10_100_1000.ocp"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/altera_tse_top_w_fifo_10_100_1000.ocp"]
set_global_assignment -library "q_sys" -name SDC_FILE [file join $::quartus(qip_path) "submodules/altera_eth_tse_mac.sdc"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_enet_pll.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_descriptor_memory.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_debug_uart.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_c0.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_mm_st_converter.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_addr_cmd.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_addr_cmd_wrap.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_ddr2_odt_gen.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_ddr3_odt_gen.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_lpddr2_addr_cmd.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_odt_gen.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_rdwr_data_tmg.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_arbiter.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_burst_gen.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_cmd_gen.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_csr.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_buffer.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_buffer_manager.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_burst_tracking.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_dataid_manager.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_fifo.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_list.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_rdata_path.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_wdata_path.v"]
set_global_assignment -library "q_sys" -name MISC_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_define.iv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_ecc_decoder.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_ecc_decoder_32_syn.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_ecc_decoder_64_syn.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_ecc_encoder.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_ecc_encoder_32_syn.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_ecc_encoder_64_syn.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_axi_st_converter.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_input_if.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_rank_timer.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_sideband.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_tbp.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_timing_param.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_controller.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_ddrx_controller_st_top.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_mem_if_nextgen_ddr3_controller_core.sv"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_software/sequencer_m10.c"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_software/sequencer_m10.h"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_software/sequencer_defines.h"]
set_global_assignment -library "q_sys" -name TCL_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_make_qsys_seq.tcl"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_core.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_di_buffer_wrap.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_datamux.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/sequencer_m10.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_bitcheck.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_mm_interconnect_0_rsp_mux.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_mem_if_sequencer_rst.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_data_broadcast.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_write_decoder.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_ram_csr.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_dm_decoder.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_ram.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_di_buffer.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_mm_interconnect_0_router_001.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_read_datapath.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/sequencer_pll_mgr.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_data_decoder.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/sequencer_phy_mgr.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_ac_ROM_reg.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_mm_interconnect_0_router.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_pattern_fifo.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_mm_interconnect_0_avalon_st_adapter.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_mm_interconnect_0_cmd_demux.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_mm_interconnect_0.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_generic.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_lfsr12.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_inst_ROM_reg.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_jumplogic.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_mm_interconnect_0_cmd_mux.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_lfsr72.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_ddr3.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_mm_interconnect_0_rsp_demux.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_lfsr36.v"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_AC_ROM.hex"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_s0_inst_ROM.hex"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_m10_ac_ROM.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/rw_manager_m10_inst_ROM.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/afi_mux_ddr3_ddrx.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_clock_pair_generator.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_read_valid_selector.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_addr_cmd_datapath.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_reset_m10.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_memphy_m10.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_dqdqs_pads_m10.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_reset_sync.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_fr_cycle_shifter.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_read_datapath_m10.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_write_datapath_m10.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_simple_ddio_out_m10.sv"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/max10emif_dcfifo.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_iss_probe.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_addr_cmd_pads_m10.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_flop_mem.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0.sv"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0.ppf"]
set_global_assignment -library "q_sys" -name SDC_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0.sdc"]
set_global_assignment -library "q_sys" -name TCL_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_timing.tcl"]
set_global_assignment -library "q_sys" -name TCL_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_report_timing.tcl"]
set_global_assignment -library "q_sys" -name TCL_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_report_timing_core.tcl"]
set_global_assignment -library "q_sys" -name TCL_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_pin_map.tcl"]
set_global_assignment -library "q_sys" -name TCL_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_pin_assignments.tcl"]
set_global_assignment -library "q_sys" -name TCL_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_p0_parameters.tcl"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_ddr3_ram_pll0.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_mult_cell.v"]
set_global_assignment -library "q_sys" -name SDC_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu.sdc"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu.v"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_bht_ram.mif"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_test_bench.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_debug_slave_sysclk.v"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_ic_tag_ram.mif"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_debug_slave_tck.v"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_rf_ram_a.mif"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_rf_ram_b.mif"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_ociram_default_contents.mif"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_dc_tag_ram.mif"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_cpu_cpu_debug_slave_wrapper.v"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_nios2_gen2_rtl_module.sv"]
set_global_assignment -library "q_sys" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/altera_nios2_gen2_rtl_module.ocp"]
set_global_assignment -library "q_sys" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_channel_adapter_0.sv"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_calibration_ram.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_button_pio.v"]
set_global_assignment -library "q_sys" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/q_sys_altpll_shift.v"]
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_TOOL_NAME "altera_reset_controller"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_reset_controller" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_st_adapter"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_avalon_st_adapter_001" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_TOOL_NAME "timing_adapter"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_avalon_st_adapter_001_timing_adapter_0" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_st_adapter"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_avalon_st_adapter" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_TOOL_NAME "error_adapter"
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_TOOL_NAME "altera_irq_clock_crosser"
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_irq_clock_crosser" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_TOOL_NAME "altera_irq_mapper"
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_irq_mapper" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_TOOL_NAME "altera_mm_interconnect"
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_st_adapter"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_TOOL_NAME "error_adapter"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_020_error_adapter_0" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_st_adapter"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_TOOL_NAME "error_adapter"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_avalon_st_adapter_error_adapter_0" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_st_handshake_clock_crosser"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_avalon_st_handshake_clock_crosser" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_width_adapter"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_merlin_width_adapter" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_002" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux_001" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_mux" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_014" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_010" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_002" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux_001" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_rsp_demux" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_014" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_002" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux_001" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_mux" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_002" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux_001" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_cmd_demux" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_burst_adapter"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_merlin_burst_adapter" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_traffic_limiter"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_028" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_022" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_010" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_009" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_008" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_004" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_002" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_router_001" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_1_router" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_sc_fifo"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_slave_agent"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_merlin_slave_agent" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_master_agent"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_merlin_master_agent" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_slave_translator"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_merlin_slave_translator" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_TOOL_NAME "altera_merlin_master_translator"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_merlin_master_translator" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_TOOL_NAME "altera_mm_interconnect"
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_mm_interconnect_0" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_TOOL_NAME "multiplexer"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_tx_multiplexer" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_sysid" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_sysid_qsys"
set_global_assignment -entity "q_sys_sysid" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_sysid" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_timer"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_sys_clk_timer" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_output_pio" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_TOOL_NAME "altera_onchip_flash"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_onchip_flash" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_TOOL_NAME "altera_msgdma"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_msgdma_tx" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_TOOL_NAME "dma_read_master"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "read_master" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_TOOL_NAME "altera_msgdma_prefetcher"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_msgdma_prefetcher" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_TOOL_NAME "modular_sgdma_dispatcher"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "dispatcher" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_TOOL_NAME "altera_msgdma"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_msgdma_rx" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_TOOL_NAME "dma_write_master"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "write_master" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_timer"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_frame_timer" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_TOOL_NAME "altera_generic_quad_spi_controller"
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_ext_flash" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_TOOL_NAME "altera_generic_quad_spi_controller"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_ext_flash_epcq_controller_instance_name" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_TOOL_NAME "altera_epcq_controller_core"
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_epcq_controller_arb" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_TOOL_NAME "altera_generic_quad_spi_controller"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_TOOL_NAME "altera_asmi_parallel"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_ext_flash_asmi_parallel_instance_name_asmi_parallel_instance_name" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_TOOL_NAME "altera_generic_quad_spi_controller"
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_ext_flash_soft_asmiblock_instance_name" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_TOOL_NAME "soft_asmiblock"
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "soft_asmiblock" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_TOOL_NAME "altera_eth_tse"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_eth_tse" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_TOOL_NAME "altera_gpio_lite"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_gpio_lite" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_TOOL_NAME "altera_eth_tse_mac"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "altera_eth_tse_mac" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_TOOL_NAME "altpll"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_enet_pll" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_onchip_memory2"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_descriptor_memory" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_uart"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_debug_uart" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_TOOL_NAME "altera_mem_if_ddr3_emif"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_ddr3_ram" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_TOOL_NAME "altera_mem_if_nextgen_ddr3_controller"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_ddr3_ram_c0" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_TOOL_NAME "alt_mem_ddrx_mm_st_converter"
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "alt_mem_ddrx_mm_st_converter" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_TOOL_NAME "altera_mem_if_nextgen_ddr3_controller_core"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "alt_mem_if_nextgen_ddr3_controller_core" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_TOOL_NAME "altera_mem_if_ddr3_qseq"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_ddr3_ram_s0" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_TOOL_NAME "altera_mem_if_ddr3_afi_mux"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "afi_mux_ddr3_ddrx" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_TOOL_NAME "altera_mem_if_ddr3_phy_core"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_ddr3_ram_p0" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_TOOL_NAME "altera_mem_if_ddr3_pll"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_ddr3_ram_pll0" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_TOOL_NAME "altera_nios2_gen2"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_cpu" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_TOOL_NAME "altera_nios2_gen2_unit"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_cpu_cpu" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_TOOL_NAME "channel_adapter"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_channel_adapter_0" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_onchip_memory2"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_calibration_ram" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_button_pio" -library "q_sys" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_TOOL_NAME "altpll"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_TOOL_VERSION "19.1"
set_global_assignment -entity "q_sys_altpll_shift" -library "q_sys" -name IP_TOOL_ENV "Qsys"